CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - cpld

搜索资源列表

  1. FSM-design

    0下载:
  2. An overview of Finite State Machines. FSMs are an important aspect of FPGA and CPLD desig using VHDL and Verilog-An overview of Finite State Machines. FSMs are an important aspect of FPGA and CPLD desig using VHDL and Verilog
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:62072
    • 提供者:johnp
  1. fjq1

    0下载:
  2. 介绍了在数字语音通信中, 利用在系统可编程技术和复杂可编程逻辑器件CPLD, 实现了数字语音的复接和分接 对于其中的单稳态电路的数字化和数字锁相环提取位同步信号也进行了详细的设计说明。实际应用结果表明, 系统工作稳 定可靠, 设计是成功的。-Describes the digital voice communications, the use of in-system programmable technical and complex programmable logic devic
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:261567
    • 提供者:renxiang
  1. fjq2

    0下载:
  2. CPLD 支持在系统可编程( ISP) 技术, ISP 技术是 通信专用集成电路设计的一种最新设计方法, 它使得数 字电路设计、生产和维护发生革命性的变化[1 ]。本文对 数字语音通信系统中的复接ö 分接器进行了详细的设计 分析, 并利用软件MAX+ PLU S II 和V erilog- HDL 语 言进行具体的仿真和设计。-CPLD supports in-system programmable (ISP) technology, ISP Communicatio
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:157147
    • 提供者:renxiang
  1. vhdl-TAXI

    0下载:
  2. 随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文利用VHDL语言设计出租车计费系统,使其实现汽车启动、停止、暂停时计费以及预置等功能,通过设置计数电路进行路费及路程的计数,通过设计数据转换电路将路费及路程的十进制数分离成四位十进制数表示,通过设计快速扫描电路显示车费及路费,突出了其作为硬件描述语言的良好的可读性的优点。通
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:269650
    • 提供者:stella
  1. pwm

    0下载:
  2. 整个系统以CPLD为核心逻辑控制器件,配以外围测试及试验电路:显示、时钟信号产生电路、蜂鸣器电路和ByteBlaster的数据变换电路,构成正负脉宽数控调制信号发生器。基于CPLD逻辑控制器件构成的正负脉宽数控调制信号发生器是一个单片系统,整个PWM信号控制所需的各种功能都可由CPLD来实现。-The entire system to the core logic control CPLD devices, together with the external test and the test
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:251240
    • 提供者:唐慧
  1. shizitongxin

    0下载:
  2. 本文主要讨论了使用CPLD(复杂可编程逻辑器件)设计简单的数字通信系统。文中主要描述了设计课题的前景、CPLD技术的先进性术和VHDL硬件描述语言的应用;说明了数字通信的原理,并进行方案论证,提出了我们的基本电路设计方案;最后对具体电路及其各个功能模块分别进行分析和软件设计,用VHDL语言编写三种已调波的程序,并进行了总结分析与展望。 -g mzz yz z
  3. 所属分类:Document

    • 发布日期:2017-04-08
    • 文件大小:250173
    • 提供者:lsj
  1. DSP_CPLD

    0下载:
  2. 一篇关于利用DSP和CPLD增强数据采集的可扩展性的论文。-A DSP and CPLD on the use of enhanced data collection scalability of the paper.
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:63747
    • 提供者:hwd
  1. 04z127

    0下载:
  2. ,以可编程逻辑阵列CPLD进行逻辑控制,采用2片现场可 编程门阵列FPGA分别作为图像预处理和2片DSP之间的通信, 实现了实时的基于灰度变换的图像目标识别处理-To programmable logic array CPLD to logic control, with two each field programmable gate array FPGA image preprocessing and communication between two DSP to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:364859
    • 提供者:bengfa6
  1. xapp944

    0下载:
  2. xapp944.rar is a CPLD as a Data Stream Switch.
  3. 所属分类:Development Research

    • 发布日期:2017-04-17
    • 文件大小:40568
    • 提供者:MRZ
  1. kaitibaogao

    0下载:
  2. 实现CPLD对数据选择器,A/D转换器,锁存器及DPRAM的控制-CPLD implementation of the Data Selector, A/D converter, the control latch and DPRAM
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:11218
    • 提供者:李树龙
  1. 51-EPM7128

    1下载:
  2. 51单片机与CPLD(EPM7128)构成的系统原理图,我在网上找不到类似的资源所以跟大家分享一下,希望对大家有帮助。这里使用单片机做处理器,CPLD做数字电路延时。构成的同步触发仪。-51 and CPLD (EPM7128) form a system schematic diagram, I can not find a similar online resources to share it with you, we want to help. Single chip processor
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:90053
    • 提供者:黄超
  1. VHDL--light

    0下载:
  2. 基于VHDL状态机设计的智能交通控灯.该灯控制逻辑可实现3种颜色灯的交替点亮、时间的倒计时,指挥车辆和行人安全通行。-intelligent traffic light,VHDL,state machine ,CPLD
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:246654
    • 提供者:镶牙另
  1. 10-jinzhi-counter

    0下载:
  2. 10进制计数器 每计数十次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Total scores of 10 binary counter has a per carry, is the basis for vhdl programming procedures used in programmable logic devices fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:638
    • 提供者:zhaohong
  1. 15-jinzhi-counter

    0下载:
  2. 15进制计数器 每计数十五次有一个进位,是vhdl编程的基础程序,应用于fpga cpld可编程逻辑器件-Fifth decimal counter 15 counts each have a carry, is the basis for vhdl programming procedures, programmable logic devices used in fpga cpld
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:651
    • 提供者:zhaohong
  1. CPLD-XC9572-PIN-ASSIGNMENTS

    0下载:
  2. ITS and simple low power program
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:7851
    • 提供者:Navnath
  1. MAX7000a-Programmable-Logic-Device

    0下载:
  2. ALTERA公司MAX7000系统CPLD的技术文档。-ALTERA CPLD company MAX7000 system technical documentation.
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:463410
    • 提供者:喻杰
  1. Music-player-design

    0下载:
  2. 这是基于CPLD的MIDI音乐播放器的设计,里面包含程序文档-failed to translate
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:83474
    • 提供者:李丽
  1. QUARTUS-II

    0下载:
  2. 本文介绍了quartus ii 如何用usb下载程序,如何设置cpld管脚-This article describes how to use quartus ii usb download, how to set cpld pin
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:241718
    • 提供者:白银河
  1. mimasuo

    0下载:
  2. 基于CPLD的EDA六位双密码锁设计,解决密码锁问题-CPLD-based design EDA six pairs of locks
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:3061
    • 提供者:asd
  1. there-level

    0下载:
  2. 对三电平逆变器的SVPWM 算法的实现进行了研究,基于DSP 和CPLD 提 出了两种实现方法。一种是采用数字信号处理器TMS320LF2407A 中的两个事件管 理器巧妙实现了三电平SVPWM 算法-the realization of the SVPWM algorithm for three-level inverter has been studied, and two methods based on the DSP and CPLD are proposed. One m
  3. 所属分类:File Formats

    • 发布日期:2017-03-30
    • 文件大小:836309
    • 提供者:tao
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com