CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - ieee

搜索资源列表

  1. Broadband_MIMO-OFDM_wireless_communications

    0下载:
  2. Broadband MIMO-OFDM wireless communications,IEEE的Invited Paper
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:919032
    • 提供者:dq
  1. IEEE1149JTAG

    0下载:
  2. IEEE 1149 标准 JTAG 原文
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1080762
    • 提供者:Tristan
  1. IEEE730_828_1058

    1下载:
  2. IEEE的三个标准:软件质量保证(IEEE730),软件配置管理(IEEE828),软件工程管理(IEEE1058)。对软件工程项目管理的人和写相关文章的人有用。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:492168
    • 提供者:李秋香
  1. ChinaTELEponRequireV2.0

    0下载:
  2. 本标准以IEEE 802.3-2005 和 我国通信行业标准《接入网技术要求——基于以太网方式 的无源光网络(EPON)》为基础,以增强EPON 系统的互通性和运营、管理能力为目标,在 PON 接口协议(MPCP、OAM 扩展等)、DBA 和QoS、组播、安全性、语音业务提供、TDM 业务提供、维护管理等方面的提出了新的和更具体的要求。 本标准由中国电信集团公司技术部组织制定。
  3. 所属分类:行业发展研究

    • 发布日期:2014-01-18
    • 文件大小:1559405
    • 提供者:leon
  1. d

    0下载:
  2. [资料][软件开发][需求分析][软件需求][Ieee.Recommended.Practice.For.Software.Requirements.Specifications]
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:239367
    • 提供者:liuchao
  1. Nonlinear_approximation_part1

    0下载:
  2. 一篇IEEE在06年的经典文献,是关于非线性估计方法在图象恢复中的理论方法.太经典了.请大家一起参阅
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1276088
    • 提供者:单昊
  1. Nonlinear_approximation_part2

    0下载:
  2. 一篇经典的IEEE在06年的文献.是关于非线性估计在图象恢复中的应用研究,与我发的上一篇文献是一组.大家2篇一起看吧!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:4703312
    • 提供者:单昊
  1. IEEEdata

    1下载:
  2. IEEE标准系统,包含各种标准节点原始数据
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2376188
    • 提供者:ginger
  1. multilevelB-splines

    0下载:
  2. 关于B样条曲面拟合的资料,很好很全面,从外国IEEE上下载的,供大家分享
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1040967
    • 提供者:惠子
  1. 01312949_HighMobilityTimeSync

    0下载:
  2. Timing Synchronization in High Mobility OFDM Systems.IEEE Journal
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:187716
    • 提供者:cmos
  1. IEEE1149.1

    0下载:
  2. 国际测试标准IEEE简易历史封装结构说明
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:187765
    • 提供者:brian1wang
  1. ofdm_frequency_offset_estimatior_using_PN_sequence

    0下载:
  2. It s a IEEE paper.In this paper,the author proposed two new frequency synchronization unsing PN sequences for OFDM system.
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:189840
    • 提供者:fisher
  1. JTAGrep

    0下载:
  2. OPEN-JTAG ARM JTAG 測試原理 1 前言 本篇報告主要介紹ARM JTAG測試的基本原理。基本的內容包括了TAP (TEST ACCESS PORT) 和BOUNDARY-SCAN ARCHITECTURE的介紹,在此基礎上,結合ARM7TDMI詳細介紹了的JTAG測試原理。 2 IEEE Standard 1149.1 - Test Access Port and Boundary-Scan Architecture 從IEEE的JTAG測試標準開始,JTA
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:287010
    • 提供者:jakenzhang
  1. RT2880

    1下载:
  2. The Ralink 802.11n Chipset family provides solutions for PCI, PCIe and USB interfaces with both 2.4 and 2.4/5GHz suppport. Each chipset consists of two highly integrated ICs (RFIC and BB/MAC IC) that fully comply with current draft IEEE 8
  3. 所属分类:文档资料

    • 发布日期:2012-03-29
    • 文件大小:4527573
    • 提供者:chenq
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. AX88180 文档

    0下载:
  2. AX88180在嵌入式系统中的应用 1 概述        AX88180是台湾ASIX公司推出的一款高性能低成本的Non-PCI千兆以太网控制器,符合IEEE802.3/IEEE 802.3u/IEEE 802.3ab协议,适用于多种需要高速接入网络的嵌入式系统,在串流媒体、多媒体网络、高频宽传输等领域有广泛的应用,如影音内容分发、IP机顶盒、数字录放机、DVD录放机、高清晰电视、数字媒体转接器、家用网关以及IP电视等
  3. 所属分类:编程文档

    • 发布日期:2009-01-03
    • 文件大小:183296
    • 提供者:guotong1984
  1. 基于汽车系统的综合控制系统的多核片上系统的模糊仲裁者的一种设计方案

    0下载:
  2. IEEE论文翻译
  3. 所属分类:其它文档

    • 发布日期:2009-02-17
    • 文件大小:531456
    • 提供者:tanlimin201
  1. An ontology mapping extraction method based on set covering

    0下载:
  2. IEEE论文
  3. 所属分类:报告论文

    • 发布日期:2010-10-13
    • 文件大小:314839
    • 提供者:ginagao@126.com
  1. rongfeifan ieee paper

    0下载:
  2. 频谱感知的经典文章
  3. 所属分类:文档资料

    • 发布日期:2010-11-08
    • 文件大小:321292
    • 提供者:nora1092
  1. 有关遗传算法的ieee论文打包发送

    0下载:
  2. 所属分类:软件工程

    • 发布日期:2010-12-06
    • 文件大小:3371642
    • 提供者:zhang.dingyi
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com