CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - vhdl流水灯

搜索资源列表

  1. ed

    0下载:
  2. 这是一个流水灯的VHDL设计程序,可以进行全程编译和系统仿真-This is a light water VHDL design process, can be compiled and the whole system simulation
  3. 所属分类:software engineering

    • 发布日期:2017-04-11
    • 文件大小:925
    • 提供者:星空
  1. lsd

    0下载:
  2. 用VHDL编写流水灯 可以使8个LED等变换形式亮灭-Water lights in VHDL can make the transformation in the form of eight LED and other light off
  3. 所属分类:Communication

    • 发布日期:2017-05-06
    • 文件大小:1517280
    • 提供者:Individual
  1. color_led

    0下载:
  2. 本历程实现流水灯的交替控制,可以看基本的vhdl语言的应用-The course of alternating light water control, you can see the basic the vhdl language of the application
  3. 所属分类:software engineering

    • 发布日期:2017-12-06
    • 文件大小:450161
    • 提供者:恩飞
  1. tan_4

    0下载:
  2. 基于FPGA的用VHdl硬件语言实现的流水灯。-FPGA-based hardware with VHdl language to achieve water lights.
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2213675
    • 提供者:赵子龙
搜珍网 www.dssz.com