CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 加运算

搜索资源列表

  1. dingdianchengfaqisheji

    0下载:
  2. 目录: 0、 约定 1、 无符号数一位乘法 2、 符号数一位乘法 3、 布思算法(Booth algorithm) 4、 高基(High Radix)布思算法 5、 迭代算法 6、 乘法运算的实现——迭代 7、 乘法运算的实现——阵列 8、 乘加运算 9、 设计示例1 —— 8位、迭代 1、 实现方案1 —— 一位、无符号 2、 实现方案2 —— 一位、布思 3、 实现方案3 —— 二位 10、设计示例2 —— 16位、
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:382707
    • 提供者:少华
  1. 555

    0下载:
  2. 按照要求设计指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:237925
    • 提供者:twh
  1. yc.rar

    0下载:
  2. 此为简易计算器的源程序,能加减乘除运算,在51单片机下开发,This is the summary of the source code calculator, multiplication and division to addition and subtraction calculations, developed in the 51 SCM
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:1784
    • 提供者:叶超
  1. jfq

    0下载:
  2. 加法器是实现两个二进制数相加运算的 基本单元电路。8 位加法器就是实现两个8 位 二进制相加,同时加上低位进位的运算电路。-Adder is to achieve the sum of two binary computing the basic unit of the circuit. 8-bit adder is to realize the sum of two 8-bit binary, at the same time together with the low binary
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:71533
    • 提供者:asd
  1. jisuanjizucheng2

    0下载:
  2. 计算机组成原理课程设计-模型机综合设计之二-带进位运算指令的实现。通过使用软件HKCPT,了解程序编译,加载的过程。同时,培养动手能力,独立解决问题的能力,通过微单步,单拍调试,理解模型机中的数据流向。-Principles of Computer Organization curriculum design- the model of the Second Integrated Design machine- into the digital computing instructions to
  3. 所属分类:software engineering

    • 发布日期:2017-05-11
    • 文件大小:2386472
    • 提供者:hsh
  1. jsq

    0下载:
  2. 运用用MATLAB编程的小型计算器,能够运行简单的加减乘除等运算-Use with MATLAB programming small calculator, able to run, such as simple addition and subtraction, multiplication and division operations
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:4861
    • 提供者:郑力圆
  1. FLOAT

    0下载:
  2. 介绍关于FPGA的浮点加法器运算单元设计-Information on floating-point FPGA-adder cell design computing
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:202910
    • 提供者:luxh
  1. hugeint

    1下载:
  2. 巨型整数类,实现高精度与高精度之间的加减乘除取余运算-Large integer type, to achieve high-precision computing
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1044773
    • 提供者:原点
  1. jisuanqi12345657

    0下载:
  2. 4*4计算器 利用并行接口进行键盘扫描,自定义距阵键盘键值,实时显示输入数值,设计的计算器有差错控制功能,能正确进行两位数的加、减、乘、除运算功能。可以进行对输入数据进行编辑,有实时删除功能。配合差错控制,有错误信息提示功能。-4* 4 calculator using the keyboard scan parallel interface, a custom matrix keyboard keys, real-time display input values, there were er
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:288765
    • 提供者:王莹
  1. wangrong31str

    0下载:
  2. 表达式求值,通过栈,计算加减乘除,可以做小数,整数负数等运算-Expression is evaluated, through the stack, calculate addition and subtraction multiplication and division, can do decimals, negative integers such as computing
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:2419
    • 提供者:wrjessica
  1. BDate

    0下载:
  2. 主要是阐述了运用重载运算符较完美的实现了大数的加减乘除运算,其中乘法用到俄罗斯算法,语言非常简练。-Is mainly explained the use of overloaded operator, the more perfect realization of the large numbers of addition and subtraction multiplication and division operations, including multiplication algor
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:1221974
    • 提供者:高德政
  1. DesignofFloatingPointCalculatorBasedonFPGA

    0下载:
  2. 给出系统的整体框架设计和各模块的实现,包括芯片的选择、各模块之间的时序以及控制、每个运算模块详细的工作原理和算法设计流程;通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能;在Xilinx ISE环境下,对系统的主要模块进行开发设计及功能仿真,验证 了基于FPGA的浮点运算。 -The overall framework of system design and realization of each module which contain selection of ch
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3488790
    • 提供者:mabeibei
  1. ModernCryptographyAlgorithms

    0下载:
  2. 利用C++实现:古典密码仿射变换\LFSR及流密码\生成非线性序列\DES算法的加、解密运算,前面几个较简单,DES算法的效果还可以-Using C++ implementation: Classical password affine transformation \ LFSR and stream password \ generate nonlinear sequences \ DES algorithm for encryption and decryption operations,
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:79617
    • 提供者:tang
  1. compute_sipf

    0下载:
  2. 根据《大话设计模式》用C++编写的计算器控制台程序,采用简单工厂设计模式。创建加减乘除运算类,继承于基本运算类operate,简单工厂类用于实例化运算符号对象。-According to " lying Design Patterns" written with C++ console calculator program, a simple factory design pattern. Create addition and subtraction multiplicati
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:1015257
    • 提供者:William penn
  1. mar2010

    0下载:
  2. 基于FPGA的单精度浮点数乘法器设计,本文设计了一个基于FPGA的单精度浮点数乘法器。乘法器为五级流水线结构。设计中采用了改进的带偏移量的冗余Booth3算法和跳跃式Wallace树型结构,减少了部分积的数目,缩短了部分积累加的耗时;提出了对尾数定点乘法运算中Wallace树产生的2个伪和采用部分相加的处理方式,有效地提高了的运算速度;并且加入了对特殊值的处理模块,完善了乘法器的功能。单精度浮点数乘法器在Altera DE2开发板上进行了验证,其在Cyclone II EP2C35F672C6器
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:599831
    • 提供者:kudding
  1. java

    0下载:
  2. 计算器实现的功能包括加减乘除,开平方,求倒数,也实现了连续运算的的功能-Calculator implemented functions include addition, subtraction, multiplication and division, square root, reciprocal, and continuous operation
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:51693
    • 提供者:ree
  1. Form1.Designer

    0下载:
  2. 小学生数学自测系统,可实现加减乘除的运算-test system
  3. 所属分类:software engineering

    • 发布日期:2017-12-02
    • 文件大小:1248
    • 提供者:戴露萍
  1. multiply

    0下载:
  2. 本文利用全加器、半加器,利用进位保留的思想,在前向割集中加入四级流水实现了乘法器的设计,提高乘法器的运算速度,并且介绍了乘法器的VHDL的程序编写过程以及代码,并给出了仿真波形-In this paper, the use of the full adder, half adder using carry-save ideological forward cutset added four water to achieve a multiplier design, to improve the
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:344064
    • 提供者:刘雅琦
  1. ALU

    0下载:
  2. 计算机ALU的verilog设计,能够实现加减与或运算-Computer ALU verilog design can add and subtract with or computing
  3. 所属分类:software engineering

    • 发布日期:2017-11-18
    • 文件大小:2347
    • 提供者:hello
  1. kaven2

    0下载:
  2. 功能非常强大的计算器,单片机飞思卡尔LCD屏幕显示,可以完成加减乘除还有括号运算-Very powerful calculator microcontroller Freescale LCD screen display, the completion of the addition, subtraction there brackets operator
  3. 所属分类:software engineering

    • 发布日期:2017-11-27
    • 文件大小:635499
    • 提供者:S
« 12 »
搜珍网 www.dssz.com