CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 单片机 计数器

搜索资源列表

  1. F2812WD

    0下载:
  2. F2812的看门狗总结 这是我总结的F2812的看门狗功能使用! 看门狗,又叫 watchdog timer,是一个定时器电路, 一般有一个输入,叫喂狗,一个输出到MCU的RST端,MCU正常工作的时候,每隔一端时间输出一个信号到喂狗端,给 WDT 清零,如果超过规定的时间不喂狗,(一般在程序跑飞时),WDT 定时超过,就回给出一个复位信号到MCU,是MCU复位. 防止MCU死机. 看门狗的作用就是防止程序发生死循环,或者说程序跑飞。 工作原理:在系统运行以后也就启动了看门狗的计数器
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:945
    • 提供者:bonwenli
  1. counter-

    0下载:
  2. 用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。-A single chip with AT89S51 T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequency of 8 resulted in t
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:14083
    • 提供者:笑笑
  1. VHDL5

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:119368
    • 提供者:张林锋
  1. CPLD

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:192837
    • 提供者:张林锋
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. 8-bitmicrocontrollerbasedonthefrequencyofdesign.ra

    0下载:
  2. 基于89C51单片机技术,介绍了一种数字式频率计数器,该频率计具有操作简单方便、响应速度快、体积小等一系列优点。可以及时准确地测量低频信号的频率。-Based on the 89C51 single-chip technology, introduces a digital frequency counter, the frequency meter is simple convenient, fast response, a series of advantages of small size
  3. 所属分类:Project Design

    • 发布日期:2017-04-28
    • 文件大小:241899
    • 提供者:何蓓
  1. 8051MCUcount0-99

    0下载:
  2. 8051单片机计数器0-99程序 -8051单片机计数器0-99程序8051单片机计数器0-99程序
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:12995
    • 提供者:郭华兴
  1. Based-on-SPCE061A-counter

    0下载:
  2. 计数器基于凌阳SPCE061A微处理器设计,对高频信号采用测频法,提高精度,对低频信号采用测周法,可最大限度减小误差。能够接收函数信号发生器产生的信号,实现周期测量和时间间隔测量。可记忆10个测量的历史数据,实现语音报数功能且能够显示温度等多种功能。本系统经实验调试,较好地实现了预定的功能,由于采用了凌阳单片机控制,系统可靠性和性价比较高。- The designed counter adopts Sunplus SPCE061A MCU,uses frequency measurement f
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:54138
    • 提供者:kangxinlong
  1. counter

    0下载:
  2. 基于KEIL 使用于51单片机 计数器功能 用LCD1602输出 模块化设计-51 single-chip counter function LCD1602 output modular design based KEIL
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:2787
    • 提供者:杨云
  1. ap

    0下载:
  2. 简单的基于单片机的脉搏计数器的脉搏计数代码-Based microcontroller pulse counter pulse count code
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:1366
    • 提供者:李婷
  1. counter

    0下载:
  2. 利用51单片机的中断功能实现计数器的程序设计。-Use of 51 single-chip microcomputer interrupt function implementation counter of program design.
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:149954
    • 提供者:jwb
  1. main

    0下载:
  2. 红外计数器,当红外探头检测到有物体经过后,单片机输出一个固定脉冲-Infrared counter, when the infrared sensor detects an object after the microcontroller output a fixed pulse
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:838
    • 提供者:leo_chen
  1. plj

    0下载:
  2. 利用8031的T0计数器实现对方波信号的频率测量,幅度为0-5V, 测量结果在6位LED数码管上显示出来。 控制功能:每隔5秒钟测量一次,测量闸门时间设定为0.5秒。闸门开启(这时允许T0计数)时发 光二极管L1点亮,闸门关闭(测量结束,停止T0计数)时L1熄灭,同时在6位LED数码管上显示出 测量结果(精确到Hz)。 单片机晶振频率为6MHz - FUCTION: bin to bcd code bin 3 byte, bcd 4 byte
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:2208
    • 提供者: jiake
搜珍网 www.dssz.com