CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 时钟

搜索资源列表

  1. Bitsynchronizationclockprogramdesign

    0下载:
  2. 一种新型位同步时钟提取方案以及实现。基于的是fpga。-A new bit synchronization clock extraction programs and implementation. Based on the fpga.
  3. 所属分类:Project Design

    • 发布日期:2017-05-11
    • 文件大小:2159086
    • 提供者:Bob Wu
  1. Ele_TIME

    0下载:
  2. 基于单片机的电子时钟设计,本系统利用DS1302与DS18B20传感器实现了温度与时间的显示,显示屏为1602液晶。实物运行稳定!-Single-chip electronic clock design, the system uses the DS1302 and DS18B20 sensors to achieve a temperature and time display, the display is 1602. Real stable!
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:89244
    • 提供者:Drift
  1. Clock(AMR9)

    0下载:
  2. 简易电子时钟(ARM9),主要在ARM9下的裸机程序,基本实现其电子时钟功能,由于为个人闲时所写,有不足请谅解。-this a simple clock on ARM9.
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:704665
    • 提供者:Eyfa
  1. 463772cppsource

    0下载:
  2. 用汇编语言编写一个时钟程序,在微机屏幕上显示当前时间的时,分,秒。在程序启动后,可键入当前时间,回车键按下后,开始计时-Using assembly language to write a clock procedures, display the current time, divided on the PC screen, seconds. After the program started, can enter the current time, the Enter key is pres
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:1286
    • 提供者:xiaowang
  1. stm32paomade

    0下载:
  2. stm32跑马灯用的是库文件编程,里面说明GPIO初始化,配置系统时钟-Stm32 entertaining diversions
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:298777
    • 提供者:李萨达
  1. test1002051429

    0下载:
  2. 做个一个小时钟程序实验,随便上传,请大家指教,000欢迎大家。-To be a small clock procedures experiment, just upload, please advise, welcome everybody.
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:13171
    • 提供者:刘硕新
  1. TMS320F2812

    0下载:
  2. TMS320F2812详细资料,包括各个外设时钟等等的功能及应用介绍-TMS320F2812 detailed information, including the various peripheral clock function and application descr iption etc.
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:6850323
    • 提供者:hongda zhang
  1. dianzishizhong

    0下载:
  2. 电子时钟程序,51单片机程序,我们做过,试验过,绝对可行。-Electronic clock procedures, 51 procedures, we have done, tested, absolutely feasible.
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1412
    • 提供者:wzh
  1. Multisim

    0下载:
  2. 数字电路课程设计 数字时钟仿真 元件及连线清晰 经亲自验证仿真正确 特此截图 可供参考-Digital circuit design courses digital clock simulation components and connections personally verified through simulation clearly correct reference is hereby shots available
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:157157
    • 提供者:曹润中
  1. shizhong

    0下载:
  2. 时钟 掉电不丢失 时间可调 修改引脚即可用 很强大-Non-volatile clock time is adjustable to modify the pin with a very strong
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:35202
    • 提供者:
  1. 1602PDS1302.shizhongPwannianli

    0下载:
  2. 时钟万年历可用串口助手调试时间与上位机同步-clock
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:45502
    • 提供者:
  1. system-clock

    0下载:
  2. 一本关于系统时钟的书籍,windows基础知识,初学者可参考-A book on the system clock, windows basics, beginners can refer
  3. 所属分类:software engineering

    • 发布日期:2017-05-19
    • 文件大小:5555273
    • 提供者:杜先生
  1. Digital-electronic-clock-(MCU)

    0下载:
  2. 用汇编语言设计了一个具有特定功能的电子钟。该电子钟上电或按键复位后能自动显示系统提示符“P.”, 进入时钟准备状态;第一次按电子钟启动/调整键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟启动/调整键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按启动/调整键再次进入时钟运行状态。-Assembly language designed an electronic clock with specific functions. Electrical or ele
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:251523
    • 提供者:刘潺忆
  1. AT89C51_clock-system

    0下载:
  2. 基于89C51单片机的汇编程序设计,同时利用ZLG7290B和PCF8563T实现的时钟系统设计方案,包括完整的汇编程序-89C51 microcontroller based assembler design, while leveraging ZLG7290B and PCF8563T achieve clock system design, including complete assembler
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:36850
    • 提供者:sherry
  1. shizhong

    0下载:
  2. 这是关于电子时钟的程序,可作为初学者借鉴学习,里面的程序已经过认真测试,真实可用,但要注意IO口的对应。-This is the electronic clock procedures, as a beginner to learn from, which the program has been carefully tested, the real available, but beware the corresponding IO port.
  3. 所属分类:software engineering

    • 发布日期:2017-04-23
    • 文件大小:24862
    • 提供者:标叔
  1. sports

    0下载:
  2. 设计一个运动计分器,技术指标如下: ①“计分器”LED数码管显示位为两位; ②“计分器”的十进数位仅为两位数,即十位数、个位数; ③“计分器”应具有“复位”或“置数”控制功能; ④“计分器”应具有“加1”和“减1”的累计运算功能; ⑤“键控”应有“运算选择”(A),“计数(加1或减1)”(B)和复位或清零(C)三个按键开关。 根据要求,我要设计一个两位数的运动计分器,要使它具有置零和置数功能,当我们给一个时钟信号的时候,我们可以给它加一或者减一,我们分别用三个开关
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:203726
    • 提供者:影子
  1. Digital-clock

    0下载:
  2. 实现数字钟的功能1. 时钟模块:由555振荡器提供时钟,经分频对计数器提供计数时钟信号; 2. 秒钟模块:对秒进行60进制循环计数,并向分钟产生进位,同时具有调分功能; 3. 分钟模块:对分进行60进制循环计数,并向小时产生进位,同时具有调时功能; 4. 小时模块:对小时进行24进制循环计数; 5. 报时模块:在整点时报警,持续约1秒钟; 6. 闹钟模块:在所设定的点进行闹铃,持续1分钟,可以中断; 7. 年月日模块:可以正常地显示年月日。 -To realize t
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:7063
    • 提供者:曹婷
  1. ARM_project

    0下载:
  2. 智能家居系统 可实现智能家居自动控制 煤气报警 系统时钟等-Smart home system can realize automatic control gas alarm smart home system clock, etc.
  3. 所属分类:software engineering

    • 发布日期:2017-06-10
    • 文件大小:17199901
    • 提供者:前锦
  1. 86547219danpianji

    0下载:
  2. 51单片机编写的时钟程序,适合初学者使用,功能完善,且都有注释,一看就能懂的-51 MCU clock procedures, suitable for beginners to use, fully functional, and has a comment, a look will be able to understand
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:17493
    • 提供者:zhaoshi
  1. LVDSyuanlijieshao

    0下载:
  2. LVDS差分电平详细介绍。可以了解差分信号的基本原理,以及查分时钟。-An LVDS difference level of detail. Can understand the basic principle of the differential signal, as well as check grade clock.
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:97592
    • 提供者:bond
« 1 2 ... 10 11 12 13 14 1516 17 18 19 »
搜珍网 www.dssz.com