CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 测试

搜索资源列表

  1. 080609

    0下载:
  2. 基于MATLAB的FIR带阻数字滤波器的设计 由于生物医学信号处理过程中易混入50Hz噪声的特点,本文利用程序设计法和FDATool设计法,设 计一带阻滤波器,并将设计的滤波器应用到一混和正弦信号,通过测试检验,该滤波器可以很好地消除50Hz的工 频干扰。-】To prevent the biomedical signals from being disturbed by 50Hz noise easily,the paper designs a bandstop digital
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:218190
    • 提供者:吕大
  1. DS12C887

    0下载:
  2. 实时时钟芯片DSC1887,msp430驱动,测试过了-DSC1887,msp430
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:11031
    • 提供者:axsdffff
  1. wannianli

    0下载:
  2. 万年历,配温度测试,可设定闹钟,时间,用lcd显示出来-Calendar, with the temperature test, can set an alarm clock, time, with lcd display
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:4624
    • 提供者:agg
  1. JavaTestingAndDesign

    0下载:
  2. Java测试与设计.从单元测试到自动Web测试-java testing and design from unit testing to automated web tests
  3. 所属分类:Software Testing

    • 发布日期:2017-06-21
    • 文件大小:37500245
    • 提供者:Lisa
  1. TEA5767

    1下载:
  2. ATMEGA8+TEA5767收音机模块+LCD3100(液晶测试程序)-ATMEGA8+ TEA5767 radio module+ LCD3100 (LCD test procedure)
  3. 所属分类:Software Testing

    • 发布日期:2017-03-25
    • 文件大小:19336
    • 提供者:陈强
  1. CZP05M01

    1下载:
  2. ATMEGA48做手感触摸按键,可以隔着10MM的玻璃触摸(测试程序)-ATMEGA48 do feel a touch button, you can touch the glass across the 10MM (test procedures)
  3. 所属分类:Software Testing

    • 发布日期:2017-04-16
    • 文件大小:25920
    • 提供者:陈强
  1. H1461T01

    0下载:
  2. STC89C52+OLED HT1461T01 台湾友达1.46液晶(测试程序)-Taiwan STC89C52+ OLED HT1461T01 AUO LCD 1.46 (test procedures)
  3. 所属分类:Software Testing

    • 发布日期:2017-03-30
    • 文件大小:73462
    • 提供者:陈强
  1. nRF905

    1下载:
  2. STC89C52+nRF905按收与发送(测试程序)-STC89C52+ nRF905 by land and send (test procedures)
  3. 所属分类:Software Testing

    • 发布日期:2017-04-01
    • 文件大小:49949
    • 提供者:陈强
  1. c8051f020

    0下载:
  2. 自己网上下的和自己调的c8051f的程序,全部通过测试.-And under their own online profile c8051f procedures, all passed the test.
  3. 所属分类:software engineering

    • 发布日期:2017-05-13
    • 文件大小:3546619
    • 提供者:shuxiaolong
  1. Bank

    0下载:
  2. 请实现一个简单的银行储蓄系统,承担活期用户的存款和取款业务,要求如下: (1) 实现描述银行的类Bank,记录系统中现有哪些储户,(可用数组实现但注意越界),定义了生成储户的函数append,按照账户删除储户的函数Delete,按账号查询储户的函数query,并显示结果. (2)定义储户类Account,具有属性账号,存款人姓名和余额,操作saving withdraw和showme. 函数saving 存储业务,函数withdraw处理取款业务,(余额不足时不予以取并给提示信息),showme
  3. 所属分类:软件工程

    • 发布日期:2013-01-10
    • 文件大小:1795
    • 提供者:杜若
  1. a

    0下载:
  2. 有双向循环链表结点定义为: struct node { int data struct node *front,*next } 有两个双向循环链表A,B,知道其头指针为:pHeadA,pHeadB,请写一函数将两链表中data值相同的结点删除,并测试。-Two-way linked list node cycle defined as: struct node (int data struct node* front,* next ) there are two t
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:967
    • 提供者:杜若
  1. AutoQtp

    0下载:
  2. 深入浅出介绍QTP轻量级框架的资料,值得一读。欢迎研究自动化测试的朋友下载。-QTP lightweight framework for introducing different information worth reading. Research on automated testing welcome friends to download.
  3. 所属分类:Software Testing

    • 发布日期:2017-03-29
    • 文件大小:333621
    • 提供者:shushu
  1. cmmb_0927_part

    0下载:
  2. 用于CMMB测试开发的服用码流,可以用来测试CMMB后端解复用以及播放模块是否调通,很实用-CMMB test for the use of code development flow, can be used to test the back-end CMMB demultiplexing, as well as whether the transfer module pass play, it is practical
  3. 所属分类:software engineering

    • 发布日期:2017-05-08
    • 文件大小:1942597
    • 提供者:djping99
  1. test

    1下载:
  2. 本文面向软件测试新手,从测试前的准备工作、测试需求收集、测试用例设计、测试用例执行、测试结果分析几个方面给出建议和方法。鉴于国内的软件开发、测试不规范的现状,本文为软件测试新手提供了若干个软件测试的关注点。 -software test introduction
  3. 所属分类:software engineering

    • 发布日期:2017-05-06
    • 文件大小:1436675
    • 提供者:sleepirlo
  1. ceshi

    0下载:
  2. lcr测试仪 测试电阻,电容,电感,实现高精度测试-lcr ceshiyijingquedecechu
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:693009
    • 提供者:wang
  1. CppUnit_Guide

    0下载:
  2. CPPUnit 是一个测试框架。这是总结的一些使用技巧。-CPPUnit is a testing framework. This is a summary of some of the tips.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-16
    • 文件大小:10159
    • 提供者:MF
  1. IR-Save

    0下载:
  2. 智能测试软件,主要用于工厂智能测试,和电脑化管理。-vi program
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:25959
    • 提供者:yunzhoufengb
  1. IR-MEAS

    0下载:
  2. 智能测试软件,主要用于工厂智能测试,和电脑化管理。-resister check
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:31396
    • 提供者:yunzhoufengb
  1. simplex_wireless_calling_system

    0下载:
  2. 单工无线呼叫系统分发射和接收两大部分。发射部分采用锁相环式频率合成器技术, MC145152和MC12022芯片组成锁相环,将载波频率精确锁定在35MHz,输出载波的稳定度达到4×10-5,准确度达到3×10-5,由变容二极管V149和集成压控振荡器芯片MC1648实现对载波的调频调制;末级功放选用三极管2SC1970,使其工作在丙类放大状态,提高了放大器的效率,输出功率达到设计要求。接收部分以超大规模AM/FM立体声收音集成芯片CXA1238S为主体,灵敏度、镜像抑制、信噪比等各项性能指标均达
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:626180
    • 提供者:周宇
  1. GameTestingAllinOne

    0下载:
  2. 游戏测试方面的经典书籍,可以从入门到系统了解游戏测试的各个方面。但是它是英文版的。-Testing of the classic books on the game, you can learn from entry into the system tests all aspects of the game. But it is in English.
  3. 所属分类:Software Testing

    • 发布日期:2017-05-28
    • 文件大小:11186089
    • 提供者:yoki
« 1 2 ... 34 35 36 37 38 3940 41 42 43 44 ... 50 »
搜珍网 www.dssz.com