CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - 自动控制

搜索资源列表

  1. PLL4046

    0下载:
  2. 基于CD4046构成的PLL及应用 CD4046构成的PLL在通信、频率处理、自动控制等技术领域中应用较为广泛,正确理解CD4046对掌握电路基本组成、原理及应用。对处理实际工程问题有很大帮助-based on the PLL constitute CD4046 and CD4046 constitute the application of the PLL communications, frequency processing, automatic control technology
  3. 所属分类:软件工程

    • 发布日期:2014-01-15
    • 文件大小:114937
    • 提供者:liming
  1. zdkzyuzidoyb

    0下载:
  2. 一本自动控制和与控制仪表的书籍,非常适合初中级的专业技术人员学习和探讨,各位可瞧好了喽,没办法,废话一大队
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:5540525
    • 提供者:何生
  1. 测量放大器论文

    0下载:
  2. 为了能准确测量一些小信号,测量放大器是不可缺少的。本系统就是为了这种需要设计的。设计由三个模块电路构成:前级高共模抑制化比仪器放大器、AD7520衰减器和单片机控制模块。在前级高共模抑制比仪器放大器中充分利用了电路的对称性,能较好的抑制共模信号。而AD7520衰减器利用电阻网络的可编程性,控制衰减器衰减率的数字编程,实现了自动控制。单片机控制模块除可以控制液晶显示外,还可进行数字处理和对继电器及AD7520的控制。该方案已基本满足了设计要求,能完成测量的需要
  3. 所属分类:软件工程

    • 发布日期:2014-01-17
    • 文件大小:77532
    • 提供者:建国
  1. FasongV

    1下载:
  2. 这是一个可以实现现代智能家居系统自动控制功能的源码
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:83153
    • 提供者:朱志荣
  1. PID

    0下载:
  2. 详细介绍 了有关 PID的应用原理,对自动控制算法很有帮助!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:167165
    • 提供者:chenfalin
  1. artifical_system

    0下载:
  2. 智能小区的概念是建筑智能化技术与现代居住小区相结合而衍生出来的。就住宅而言,先后出现了智能住宅、智能小区、智能社区的概念。我们可以这样认为:智能化住宅小区是指通过利用现代通信网络技术、计算机技术、自动控制技术、IC卡技术,通过有效的传输网络,建立一个由住宅小区综合物业管理中心与安防系统、信息服务系统、物业管理系统以及家居智能化组成的\"三位一体\"住宅小区服务和管理集成系统,使小区与每个家庭能达到安全、舒适、温馨和便利的生活环境,最终目的是使每个住户得到满足其需求的最佳方案。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:153448
    • 提供者:孙亮
  1. Electricboilertemperaturecontr

    0下载:
  2. 摘要】 本文介绍了以AT89S51单片机为核心的温度控制器的设计,在该设计中采用高精度的温度传感器AD590对电热锅炉的温度进行实时精确测量,用超低温漂移高精度运算放大器OP07将温度-电压信号进行放大,再送入12位的AD574A进行A\D转换,从而实现自动检测,实时显示及越限报警。控制部分采用PID算法,实时更新PWM控制输出参数,控制可控硅的通断时间,最终实现对炉温的高精度控制。 【关键词】 水温控制系统 PID控制单片机 温度控制是工业生产过程中经常遇到的过程控制,有些工艺过
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:225089
    • 提供者:杨隆
  1. DigitalElectronicTechnology.ra

    2下载:
  2. 设计制作一个路灯自动照明的控制电路,当日照光亮到一定程度时使路灯自动熄灭,而日照光暗到一定程度时又能自动点亮,开启和关断的日照光亮度根据用户的要求进行调节。设计计时电路,显示路灯当前一次的连续开启时间,设计计数显示电路,统计路灯的开启次数。,Automatic design of a street lamp lighting control circuit, when the bright sunshine to a certain extent so that street lights au
  3. 所属分类:软件工程

    • 发布日期:2017-05-17
    • 文件大小:11093
    • 提供者:siren
  1. kj06

    0下载:
  2. 传统的自动控制 器的综合设计都要建立在被控对象准确的数学模型(即传递函数模型或状态空间模型)的基础上,但是在实际中,很多系统的影响因素很多,油气混合过程、缸内燃烧过程等) ,很难找出精确的数学模型。这种情况下,模糊控制的诞生就显得意义重大。因为模糊控制不用建立数学模型不需要预先知道过程精确的数学模型-fuzzy control in
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:51169
    • 提供者:lihaiyang
  1. 14

    0下载:
  2. 液位自动控制装置/本系统采用分布式微机控制系统,通过测量传感器的信号频率来获取液面高度。-Liquid level automatic control devices/systems distributed microcomputer control system, by measuring the sensor signal frequency to obtain the liquid height.
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:162635
    • 提供者:wenliqiang
  1. dpj

    0下载:
  2. 单片机控制交通灯设计 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:238038
    • 提供者:zx
  1. auto

    1下载:
  2. 自动控制原理的课程设计,包含有根轨迹分析、时域分析、频域分析、控制系统设计及校正的matlab程序设计及仿真实例。-Automatic Control Theory of curriculum design, contains a root locus analysis, time-domain analysis, frequency domain analysis, control system design and calibration procedures for the design
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:297465
    • 提供者:刘悠
  1. 1111

    0下载:
  2. 软件需求工程的课设,地铁自动控制系统,一份完整的课设-Software requirements engineering course is designed automatic control system of the MTR, a complete set of classes
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:72045
    • 提供者:冯莹莹
  1. 2001

    0下载:
  2. 以前电子设计大赛的论文集,有函数信号发生器、示波器、自动控制的平衡小车、收音机等的设计思想、制作电路图及相应程序流程图-Before the collection of electronic design contest, the function signal generator, oscilloscope, the balance of automatic control car, radio, the design idea, the production of circuit diagr
  3. 所属分类:Project Design

    • 发布日期:2017-05-26
    • 文件大小:9164573
    • 提供者:ou
  1. zikongkeshe

    0下载:
  2. 自动控制原理课程设计,三阶系统综合分析与设计,绝对原创-Principles of Automatic Control curriculum design, third-order system analysis and design, the absolute originality
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:807572
    • 提供者:jiangbixuan
  1. PID

    3下载:
  2. 目前,PID控制及其控制器或智能PID控制器(仪表)已经很多,产品已在工程实际中得到了广泛的应用,有各种各样的PID控制器产品,各大公司均开发了具有PID参数自整定功能的智能调节器(intelligent regulator),其中PID控制器参数的自动调整是通过智能化调整或自校正、自适应算法来实现.-At present, PID control and its controller or intelligent PID controller (instrument) has a lot of
  3. 所属分类:software engineering

    • 发布日期:2015-10-29
    • 文件大小:36216
    • 提供者:代玉梅
  1. AutoControl

    0下载:
  2. 针对目前温室控制的缺点,以提高温室控制的自动化和实用性为目的,设计出了一种基于PIC微控器的多传感器温室自动控制系统。介绍了温室控制的基本工作原理,给出了硬件电路原理图。该系统能实现出远程和网络监控,具有广泛的应用前景。 -Aiming at the shortcomings of greenhouse control to improve the greenhouse control, automation and utility for the purpose of designing a
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:64942
    • 提供者:coffee
  1. ilm

    0下载:
  2. 有机薄膜器件的镀膜自动控制系统设计-Coating of organic thin film devices automatic control system
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:440254
    • 提供者:nin
  1. principle-of-automatic-control

    1下载:
  2. 清华大学自动控制原理课件,是学习自动控制原理必备的知识-principle of automatic control
  3. 所属分类:software engineering

    • 发布日期:2017-05-27
    • 文件大小:10452486
    • 提供者:熊俊
  1. Labview串口资料

    0下载:
  2. 本文详细介绍了LabView串口(RS232)通信的基本原理及实现手段,并列出了常见问题及解决方法,适合仪器自动控制相关科研技术人员参考。
  3. 所属分类:软件工程

    • 发布日期:2013-10-17
    • 文件大小:1315840
    • 提供者:frederichi
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com