CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - .S

搜索资源列表

  1. 完全用 GNULinux 工作

    0下载:
  2. 网上搜的一位牛人的使用linux经验,对初学者很有帮助。-online search of a cow's use linux experience, useful for beginners.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:14638
    • 提供者:sparkish
  1. 70

    0下载:
  2. ... 公司授权正版用户可以使用这些程序的源代码,但必须标明由易语言编写及易语言网址。 其中:连连看、易之表增强版与中华 ... 农历月历支持库 拖放支持库 正则表达式支持库 进程通讯支持库 BT下载支持库 网络通讯支持库二 超级选择夹支持库-... Genuine authorized users can use a program's source code, but easy to be labeled by the Yi language and language website
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:58152
    • 提供者:行通知
  1. 123

    0下载:
  2. 还有一个缺陷就是在只知道密文 x 及公钥(n,e)的情况下,只要将 (x^e) mod n 所得余数 s 再不断地循环操作 s = s^e mod n,此运算不断地循环 e 次之后,很多情况下都可以循环出原文,只是计算量过余多一些罢了。不过有不少情况下,根本都无须循环 e 次,不过对于1024位的 n 级别来说,e 也是一个相当大的数值,所以循环密文的余数以解得原文是有些不现实。 以上内容仅供参考,如有不实,请予更正-there is a defect in only know that the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:14689
    • 提供者:行通知
  1. HnSRTree-1.3.1.tar

    0下载:
  2. R 树, 存取方式的当中最普遍的的用长方形, 是根据区域的启发式优化围绕的长方形在各个内在结点通过运行在一张规范化的试验平台下高度变化的数据, 询问和操作众多的实验, 我们能设计合并联合的优化的R* 树区域, 各个附寄的长方形边际和交叠在目录使用我们规范化的试验床在详尽表现比较,它结果R* 树清楚地胜过现有的R 树变形Guttman’s线性和二次方R 树和R 树的格林变形,R* 树的这优势举行为不同的型询问和操作, 譬如地图覆盖物。 为两个长方形和多维点在所有实验从一个实用观点R* 树是非常有吸
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:171922
    • 提供者:王琪
  1. 软 件 工 程 思 想

    0下载:
  2. :软件开发过程中的坎坎坷坷,仿佛只是人脸的凹凸不平,用热水毛巾一把就可抹平。让我们高举程序主义、软件工程思想的伟大旗帜,紧密团结在以Microsoft为核心的软件公司周围,沿着比尔• 盖茨的生财之道,不分白天黑夜地编程,把建设有中国特色的软件产业的伟大事业全面推向21世纪。-: software development process of Bumpy Road, as if the face is rugged, a towel with hot water can be smoo
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1068030
    • 提供者:李林
  1. Jeff_JAVE_CODING_CONVENTIONS

    0下载:
  2. JAVA编码规范,非常完整全面,适合所有的java程序设计,参考资料来源sun公司的标准文档,结合本人多年开发开发经验,做了一定的总结和添加,有一定的参考价值,完全可以作为个人或开发企业内部的正式规范使用。-Java coding standard, very complete and suitable for all the java programming, reference sources sun's standard documentation, I developed yea
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:47252
    • 提供者:杰弗雷
  1. DSP和PBL3717A构成的步进电机的控制系统

    0下载:
  2. 介绍由美国TI公司的数字信号处理器TMS320LF2407A和SGS公司的步进电机驱动芯片PBL3717A构成的两相混合式步进电机的控制系统。-introduced by the United States TI's digital signal processor and SGS TMS320LF2407A the stepper motor drive chip Built constitute the two-phase hybrid stepping motor control
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:269036
    • 提供者:韩伟
  1. mis课程设计

    1下载:
  2. 这是我同学自己做的mis课件!希望对大家有点用处!关于员工的工资系统!-It s a coureware of my classmate. Wish the payoff system will be of a litte help for you!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:779611
    • 提供者:天才
  1. CMD文件配置详解

    1下载:
  2. 2812 CMD文件配置详解 DSP芯片以其极高的精度,性能及运算速度等无与伦比的优点使它得到了十分广泛的应用,TMS320F2812是TI公司生产的到目前为止用于数字控制领域的最好的DSP芯片,在对它的仿真开发过程中,编译器生成的代码和数据要由链接器分配到合适的存储空间,通常链接器的命令文件.cmd文件是由用户自己编写的,编写不当,就会使仿真开发不能进行,TI公司虽然在《TMS320C28x Optimizing C/C++ Compiler User’s Guide 6》和《TMS320C
  3. 所属分类:软件工程

    • 发布日期:2009-04-04
    • 文件大小:139636
    • 提供者:santoszdq
  1. Zinc Programmer's Guide 6.0 beta

    0下载:
  2. Zinc是Windriver推出的一个功能强大、跨平台、国际化的图形用户界面开发工具。能在VxWorks 操作系统上生成功能丰富的全尺寸嵌入式GUI接口,并且系统开销要低,上市时间要快。
  3. 所属分类:软件工程

    • 发布日期:2010-10-08
    • 文件大小:4458
    • 提供者:yeccit
  1. 基于C/S模式的java局域网聊天系统

    1下载:
  2. 本系统是一个典型的聊天系统,同时还要连接数据库。该程序分两大部分来编写,服务器端和客户端。服务器端用来响应客户端所发出的请求,并把接受到客户端的响应分别作出响应的处理。同时还要连接数据库,对数据库里的数据进行增加和删除。客户端用来接收用户的请求,然后做出相应的操作,同时还要向服务器端发出申请,从而完成对数据库的增加和删除操作。
  3. 所属分类:软件工程

    • 发布日期:2010-12-11
    • 文件大小:171008
    • 提供者:Lin958599
  1. 详细推导了复平面上牛顿迭代法的原理和计算公式

    0下载:
  2. 详细推导了复平面上牛顿迭代法的原理和计算公式,用MATLAB编程实现了牛顿迭代法,得到一些奇异绚丽的分形图形-The complex plane is derived in detail the principle and Newton' s iteration formula, using MATLAB programming Newton' s iterative method, get some strange colorful fractal images
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:1406377
    • 提供者:wanglei
  1. DM9000的设计文档

    0下载:
  2. DM9000的设计文档,以及PCB的设计Demo,DM9000' s design documents, as well as PCB
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:2649071
    • 提供者:赵明飞
  1. Ralink-AP-SDK3.3.0.0-Users-Manual台湾Ralink的RT3050 SDK说明文档

    1下载:
  2. 台湾Ralink的RT3050 SDK说明文档!对于需要了解RT3050SDK的内容的朋友比较有帮助!版本是3.3的!-Taiwan Ralink' s RT3050 SDK documentation! The need to understand the content of RT3050SDK more helpful friends! Version 3.3!
  3. 所属分类:软件工程

    • 发布日期:2012-10-23
    • 文件大小:2474182
    • 提供者:4966985
  1. VC 调用IE的网址导入和导出功能

    0下载:
  2. VC 调用IE的网址导入和导出功能 收藏夹功能-VC call IE' s address import and export functions Favorites feature
  3. 所属分类:软件工程

    • 发布日期:2017-03-27
    • 文件大小:219972
    • 提供者:齐帅
  1. dm3730 dsp系列中双核芯片的手册

    0下载:
  2. dsp系列中双核芯片的手册,DM3730是TI公司最近开发的一款高性能芯片,对学习dm3730的开发者提供了参考,详细地硬件系统介绍。-dsp series dual-core chip, manual, DM3730 is TI' s recently developed a high-performance chips, the development of learning dm3730 provide a reference, a detailed hardware descr ipti
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:2047931
    • 提供者:流文件
  1. ATMEGA8L+CC1100.rar

    0下载:
  2. 基于AVR的射频芯片CC1100实现方案,based on the AVR chip CC1100 RF Implementation
  3. 所属分类:软件工程

    • 发布日期:2013-09-08
    • 文件大小:988311
    • 提供者:徐海军
  1. SMAC-manual.rar

    0下载:
  2. SMAC用户手册.pdf Simple Media Access Controller Information in this document is provided solely to enable system and software implementers to use Freescale Semiconductor products. There are no express or implied copyright licenses granted hereunder t
  3. 所属分类:software engineering

    • 发布日期:2017-03-22
    • 文件大小:948017
    • 提供者:caoxutao
  1. UML.rar

    0下载:
  2. UML中的泛化,关联,聚合,合成,依赖的关系完全辨析,一看就懂,UML s generalization, association, aggregation, synthesis, dependent on the relationship between the full analysis, one look to understand
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:282578
    • 提供者:free
  1. 123465.zip

    0下载:
  2. 电力负荷管理终端方面的资料,完整的硕士毕业论文!,Power load management terminal information, a complete master s thesis!
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2440989
    • 提供者:汪海锋
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com