CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - .S

搜索资源列表

  1. pinmuquci

    0下载:
  2. 很好的一篇文章,介绍了屏幕取词的核心技术,目前这方面的材料不多-Very good article, introduced the Screen s core technology, the current material in this regard much
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:104895
    • 提供者:ghd
  1. Mastering_the_VI_editer

    0下载:
  2. Mastering the VI editer .pdf the VI editer s ueser guide-Mastering the VI editer. Pdfthe VI editer s ueser guide
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:52307
    • 提供者:吴继发
  1. tuoluo_piaoyi_feipingwenshijian

    0下载:
  2. 将非平稳时间序列的状态空间建模方法用于陀螺过渡过程的分析.基于平滑先验约束的概念,使用卡尔曼滤波和赤池的AIC方法拟合全局模型,得到陀螺漂移模型的若干数值结果并用于陀螺系统分析.由于观测序列的趋势项、不规则分量可同时建模,因此比分别建模在统计上更加准确有效.-Will be non-stationary time series state space modeling method for the analysis of the transition process gyro. Priori s
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:173191
    • 提供者:我爱
  1. JAVA.SHGLXT

    0下载:
  2. JAVA编写的学生管理系统。内有三个文档,功能全面,可是没有连接到数据库。如果有高人会的请指教-JAVA prepared students management system. There are three documents, full-featured, but not connected to a database. If there is an expert s advice please
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:2665
    • 提供者:吴志权
  1. Trainticketingsystem

    0下载:
  2. 根据系统的长期目标,以及现行系统存在的主要问题,建立系统可分以下几步实现。 (1)对新系统实现的硬件条件 显然该系统只是一个软件系统,它若要真正放于现实,则尚须相应的硬件支持,如打印机等. (2)新系统可能产生的影响 要对火车站售票工作人员进行此系统软件的使用,使其逐步适应计算机化的要求。 (3)效益分析 该系统提高了大家买票的方便度,全国各地的客户可以在任何火车站购买到任何两地间的火车票,方便了大家的生活. -According to the system s
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:180408
    • 提供者:c51com
  1. ComputerRoom

    0下载:
  2. 本论文以西电基础教学实验中心学生上机管理系统为背景,论述了管理信息系统的概念,结构及系统开发的基本原理和方法。全文共分为绪论、开发方法的选择、系统分析、系统设计、系统实施、结束语等六章。首先论述了该系统的开发背景和系统的简单介绍;然后,讨论了结构化生命周期法、原型法和面向对象这三种开发方法的技术,根据需要选择合适的开发方法;结合本系统详细阐述了系统分析、系统设计的技术及应用,尤其在机房管理人员和上机人员的交流方面采用了C/S结构进行解决;此文还论述了在开发过程中遇到的问题及学到的东西。通过开发这
  3. 所属分类:Project Design

    • 发布日期:2017-05-11
    • 文件大小:2666557
    • 提供者:c51com
  1. fangbafashengqi

    0下载:
  2. 本设计是关于方波发生器和音调识别器的设计,以NE567音调解码器为基础器件,配上其它适当的零部件,在试验箱上进行电路的设计连接。本文详细介绍了LM567的内部结构、工作原理、性能指标和选择参数以及用其所设计的频率调制器(方波发生器)和音频信号识别器(音调控制开关)。-The design is on the square-wave generator and a tone recognizer designed to NE567 tone decoder-based devices, with
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:48597
    • 提供者:苏东坡
  1. java

    0下载:
  2. 本文的设计是基于C/S结构,利用hibernate持久化框架、使用JAVA编程技术在Eclipse集成开发环境下开发的航空订票系统。该系统实现了航班管理、订票管理、营业网点管理以及业务统计等功能。 系统在客户端内能够完成营业员登录,查询航班,出票等功能。在服务器航空公司内部能够完成添加或删除航班,添加或删除营业网点等功能。系统还能统计业务量,并将这些信息保存在服务器端,并供业务员随时查询。-In this paper, the design is based on the C/S stru
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:94187
    • 提供者:sdh
  1. qiyerenshigongziguanlixitong

    0下载:
  2. 管理信息系统;人事工资管理系统;C/S模式 。Visual C++ 6.0为系统的前台开发工具,以Microsoft SQL Server 2000为后台数据库开发工具-Management information systems personnel management system of wages C/S mode. Visual C++ 6.0 The prospects for the system development tools to Microsoft SQL Server
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:12230
    • 提供者:余传静
  1. credit_multipay

    0下载:
  2. 贝宝的网络支付网关技术文档! 有ASP,PHP,Java接口及测试规范!-PayPal s payment gateway technical documentation network! Have ASP, PHP, Java interface and testing norms!
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:22730
    • 提供者:刘杰
  1. RS-485designguide

    0下载:
  2. 作为上世纪80年代早期批准的一个平衡传输标准,RS-485似乎已成为工业界永不过时的接口标准。关于它的文献有很多,但对于很少接触接口设计的系统工程师而言,如此海量的文献就有些让人吃不消了。 本文旨在讨论RS-485标准的主要内容,为初接触它的设计师提供入门指南。研究文末参考的一些附加应用笔记可进一步帮助设计师在最短的时间内完成一套可靠的数传设计。 -As the last century, the early 80 s approval of a balanced transmissio
  3. 所属分类:Project Design

    • 发布日期:2017-04-14
    • 文件大小:4760
    • 提供者:yang
  1. CMMB_service_training

    0下载:
  2. 伴随着手机电视成为3G大家关注的焦点,CMMB技术倍受关注,本文当为广电总局的内部培训文档,详细介绍了CMMB技术框架-Along with 3G mobile TV to become the focus of everyone s attention, CMMB technology has drawn greater attention, this article as SARFT s internal training document, detailing technical fram
  3. 所属分类:software engineering

    • 发布日期:2017-05-18
    • 文件大小:4811022
    • 提供者:jian.zhang
  1. embedeeddesigninstructio3

    0下载:
  2. embedeeddesigninstructio2,嵌入式开发要点详细介绍文档,别人总结的-embedeeddesigninstructio2, embedded development points detailed documentation, summing up other people s
  3. 所属分类:software engineering

    • 发布日期:2017-04-26
    • 文件大小:194306
    • 提供者:henry
  1. C++InterViewWriten

    0下载:
  2. 大唐、华为、微软的面试、笔试试题,是不可多得的学习、工作的宝贵资料-Datang, Huawei, Microsoft s interview, written examination, is a rare study, work, valuable information
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:86654
    • 提供者:刘勇
  1. Interview_Microsoft

    0下载:
  2. 为了大家找工作的方便,现将微软常考的面试题上传,希望对大家有帮助.-In order to facilitate everyone looking for work, now provide Microsoft s Interview examination questions and I hope to help everyone.
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:7970
    • 提供者:liwg
  1. HUAWEI-ETS6630-3g-English-Normal

    0下载:
  2. HUAWEI ETS6630 3g无线固定台产品用户手册-(V100R001_01,English,Normal).zip-HUAWEI ETS6630 3g wireless fixed Desk product user' s manual- (V100R001_01, English, Normal). Zip
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:888627
    • 提供者:haitao
  1. Software_process_management

    0下载:
  2. 关于软件工程专业的软件过程管理的学习资料,对于软件设计师很重要的-it s very important documents about software process for software engineers
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:7208371
    • 提供者:李波
  1. officAuto

    0下载:
  2. 系统针对企业机关办公中存在的信息不畅、效率底下、操作不规范等一系列问题。用信息化的手段和新一代规范等一系列问题。用信息化手段和新一代的管理思想提供了强有力的网络化、电子化支持。把协同办公的理念、知识管理的思想带入了现代社会的办公生活,从而提升了现代企业的信息化程度,改变了人们的生活。-System for enterprise organs that exist in the information office impeded, the efficiency under the operati
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:861934
    • 提供者:ynnu
  1. usb_20

    0下载:
  2. usb 2.0 ,s specfication -usb
  3. 所属分类:software engineering

    • 发布日期:2017-05-19
    • 文件大小:5611547
    • 提供者:山假名
  1. fuzzy-control

    0下载:
  2. 基于模糊控制的电炉温度器的研究与实现.nh,优秀硕士论文,值得借鉴-Based on fuzzy control furnace temperature of Research and Implementation. Nh, outstanding master' s thesis, it is worth drawing
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:2619408
    • 提供者:george
« 1 2 ... 19 20 21 22 23 2425 26 27 28 29 ... 50 »
搜珍网 www.dssz.com