CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - Verilog

搜索资源列表

  1. FPGA_uart

    0下载:
  2. verilog 编写的FPGA串口通信的代码,可实现串口的收发操作-FPGA serial communication code written in verilog serial transceiver operation
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:101090
    • 提供者:wangwei
  1. veriloghdl

    0下载:
  2. verilog HDL 实用教程 包含基础的概念和一些简单应用实例-the verilog HDL practical tutorial contains the concept and some simple application examples
  3. 所属分类:software engineering

    • 发布日期:2017-12-08
    • 文件大小:1316316
    • 提供者:popinnag
  1. CPLD_18b20_uart

    0下载:
  2. 温度传感器采集数据给cpld,然后由串口上传到上位机;编程语言是verilog;-Temperature sensor collected data to the the cpld, then uploaded to the host computer by serial programming language verilog
  3. 所属分类:Project Design

    • 发布日期:2017-11-20
    • 文件大小:494654
    • 提供者:房贷
  1. REFRESH

    0下载:
  2. VERILOG实现数码管动态刷新,开机复位后显示1234-VERILOG digital dynamic refresh, power-on reset is displayed after 1234
  3. 所属分类:software engineering

    • 发布日期:2017-11-13
    • 文件大小:1241
    • 提供者:panwei
  1. carrylook4bit

    0下载:
  2. carry 4-bit adder program in verilog
  3. 所属分类:Project Design

    • 发布日期:2017-12-10
    • 文件大小:587
    • 提供者:shobha
  1. codlab-17-2-12

    0下载:
  2. Verilog programs- multiplexer, encoder etc
  3. 所属分类:Project Design

    • 发布日期:2017-11-26
    • 文件大小:3147
    • 提供者:YESHASWINI H.S
  1. New-Folder

    0下载:
  2. it is a verilog code for masterslave flipflop
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:1773
    • 提供者:kuldeep
  1. shuzishizhong-verilog

    0下载:
  2. 基于2410开发板数字时钟的开发,实现了计时,日期,跑表的功能-Based on the development of the 2410 development board digital clock, a time, date, stopwatch function
  3. 所属分类:software engineering

    • 发布日期:2017-12-04
    • 文件大小:1119223
    • 提供者:lywshz
  1. elevator-verilog

    0下载:
  2. 基于2410开发板控制电梯运行的开发,实现了电梯的基本功能-Development, the basic functions of the elevator control elevator running based on the 2410 development board
  3. 所属分类:software engineering

    • 发布日期:2017-11-23
    • 文件大小:703711
    • 提供者:lywshz
  1. vedicmuliplier

    0下载:
  2. Vedic multiplier design in Verilog HDL
  3. 所属分类:Project Design

    • 发布日期:2017-11-21
    • 文件大小:944
    • 提供者:pravat
  1. ripple-carry-array-mult

    0下载:
  2. Ripple carry array multiplier design in verilog HDL
  3. 所属分类:Project Design

    • 发布日期:2017-11-10
    • 文件大小:825
    • 提供者:pravat
  1. carrysave-array-mult

    0下载:
  2. Carry save array multiplier design in verilog HDL
  3. 所属分类:Project Design

    • 发布日期:2017-11-28
    • 文件大小:736
    • 提供者:pravat
  1. ModelSim_SE_Plus_v5.7F_Real_Working

    0下载:
  2. model sim simulator of vhdl and verilog codes
  3. 所属分类:Project Design

    • 发布日期:2017-11-02
    • 文件大小:516137
    • 提供者:Sameer khan
  1. verilogHDL

    0下载:
  2. 夏雨闻经典Verilog HDL详介绍了verilog HDL语法规范和无数经典例程,是和初学者学习,简单易懂。-Xia Yu Wen the classic Verilog HDL detailed introduction verilog HDL syntax specification and countless classic routines, and for beginners to learn, easy to understand.
  3. 所属分类:software engineering

    • 发布日期:2017-11-26
    • 文件大小:12667012
    • 提供者:金涛
  1. DAC-TLC5620_

    0下载:
  2. 基于verilog的硬件设计,DAC芯片TLC5620_verilog代码-The DAC chip TLC5620_verilog code verilog-based hardware design
  3. 所属分类:software engineering

    • 发布日期:2017-11-23
    • 文件大小:4354
    • 提供者:NAME
  1. Multiplier

    0下载:
  2. 详细介绍了给予Verilog的乘法器设计过程。-Details the the multiplier given Verilog design process.
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:312153
    • 提供者:wind
  1. digital-Timer

    0下载:
  2. 数字时钟,使用Verilog实现,已经调试过了-Digital clock, using Verilog implementation
  3. 所属分类:software engineering

    • 发布日期:2017-11-12
    • 文件大小:325852
    • 提供者:吴忠国
  1. Quartus_II-training-file

    0下载:
  2. Quartus 培训和使用教程,包括使用原理图输入,使用Verilog建立工程等-Quartus training file,include usingthe schametic to create project,and use the verilog file to create the project.
  3. 所属分类:software engineering

    • 发布日期:2017-11-17
    • 文件大小:1479067
    • 提供者:啊牛
  1. UART

    0下载:
  2. design IP UART by Verilog, VHDL-design IP UART by Verilog, VHDL...
  3. 所属分类:Project Design

    • 发布日期:2017-12-09
    • 文件大小:120042
    • 提供者:Ngâ n
  1. UART

    0下载:
  2. 基于ISE 用verilog编写的uart串口通信源码-Based on the ISE written in verilog uart serial communication source code
  3. 所属分类:Project Design

    • 发布日期:2017-11-21
    • 文件大小:544
    • 提供者:祁伟
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 23 »
搜珍网 www.dssz.com