CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程 搜索资源 - figure

搜索资源列表

  1. example4_7

    0下载:
  2. DSB调制和相干解调系统仿真 fm=2-figure subplot(311) plot(f,abs(yr)/N) title( 接收信号与相干载波相乘后的频谱 ) xlabel( f /Hz )
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:794
    • 提供者:张红
  1. shuxianwenti

    0下载:
  2. 1、 求4位的水仙花数。即满足这样条件的4位数:各位数字的4次方和等于该数自身。-1, find the number 4 of the daffodils. This condition is met in the 4-digit: 4 power and you figure equal to the number itself.
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:3338
    • 提供者:贺亮
  1. shuzipinlvji

    0下载:
  2. 【摘要】本设计是基于AT89C2051单片机的软硬件系统设计,硬件电路包括信号预处理电路、波形转换电路、波形整形及分频电路、显示电路,它们在本文中都有详细介绍。在单片机设计中应用单片机的数字运算和控制功能实现了测频量程的自动切换,满足了时间要求和精度要求。 【关键词】频率计;分频;AT89C2051 -【Abstract】This paper presents a design for frequency meter based on AT89C2051 Single-Chip Microc
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:641384
    • 提供者:张谦
  1. gabor

    1下载:
  2. The real and imaginary components of a complex Gabor filter are phase sensitive, i.e., as a consequence their response to a sinusoid is another sinusoid (see Figure 1.2). By getting the magnitude of the output (square root of the sum of squared
  3. 所属分类:software engineering

    • 发布日期:2017-03-23
    • 文件大小:159418
    • 提供者:cestrada
  1. MATLAB-basic-intensive-training

    0下载:
  2. 运用MATLAB编程并结合simulink解决简单的电路问题。 自选题目:图1所示为典型的直流电阻电路[3], 含有电压控制的受控电流源VCCS,其中,R1=1Ω,R2= 2Ω, R3=3Ω,US=10V, IS=15A, VCCS= ,现需分析计算电流i1和电压u2 -Combined with the use of MATLAB simulink program to solve simple circuit problems. Optional Title: Figure 1 sh
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:99623
    • 提供者:weihao
  1. qpskmod_demod

    0下载:
  2. figure of qpsk mod_demod
  3. 所属分类:Software Testing

    • 发布日期:2017-03-30
    • 文件大小:1416
    • 提供者:shahih
  1. MesaGLUT-7.5.1

    0下载:
  2. MESA GLUT is very important for computer graphics and for all sort of stuff. please go through it. it is very amazing and will defineitely help u figure out.
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:561119
    • 提供者:dai
  1. 1

    0下载:
  2. 系统数据流图+ER图+功能模块图-课程设计-System data flow diagram+ ER+ Functional Block Diagram Figure- Course Design
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:13202
    • 提供者:stsz_ok
  1. dao-you-xi-tong

    0下载:
  2. 设计连云港市导游系统。以图中顶点表示各景点,存放景点名称、代号、简介等信息;以边表示路径,存放路径长度等相关信息。 为来访客人提供图中任意景点相关信息的查询。 为来访客人提供图中任意景点的问路查询,即查询任意两个景点之间的一条最短的简单路径。 提供图中任意景点查询,即求任意两点间的所有路径。 导游图的景点和道路的修改扩充功能。 -Lianyungang City guide system design. To vertices that the attractions
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:4099
    • 提供者:
  1. ADC0809

    0下载:
  2. ADC0809 是8 位逐次逼近型A/D转换器。它由一个8路模拟开关、一个地址锁存译码器、一个A/D 转换器和一个三态输出锁存器组成(见图1)。多路开关可选通8个模拟通道,允许8 路模拟量分时输入,共用A/D 转换器进行转换。三态输出锁器用于锁存A/D 转换完的数字量,当OE 端为高电平时,才可以从三态输出锁存器取走转换完的数据。-ADC0809 8-bit successive approximation A/D converter. It consists of an 8-channel a
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:226161
    • 提供者:lanying
  1. shuzixinhao

    0下载:
  2. 编译原理输入一串数据,利用状态转换图程序求出“关键字,标识符,整数,运算符,实数”。-input a string of data, using the state transition figure program find out "keyword, identifier, integer, operators, real number".
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:516042
    • 提供者:尹洁
  1. DargahiaDeshpande

    0下载:
  2. Cost effective digital cameras use a single-image sensor, applying alternating patterns of red, green, and blue color filters to each pixel location. The problem of reconstructing a full three-color representation of color images by estimating the mi
  3. 所属分类:software engineering

    • 发布日期:2017-05-24
    • 文件大小:3521387
    • 提供者:irina
  1. ESC-Assignment

    0下载:
  2. In this assignment a lock –in amplifier based micro-ohmmeter will be designed and tested of which block diagram is as in figure 1 beneath. Under requirement list, capability of measuring small resistance without the applying of large currents been ma
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1185848
    • 提供者:zyad
  1. 123

    0下载:
  2. 波形发生器 ISIS图 单片机课程设计仿真用 基于at89s51 - Waveform generator ISIS Figure
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:20451
    • 提供者:
  1. 4533333

    0下载:
  2. 一个高清的监控的技术方案,里面有详细的分层的架构图-A hd monitoring technology program, there is a detailed layered structure of the figure
  3. 所属分类:Project Design

    • 发布日期:2017-05-17
    • 文件大小:4408756
    • 提供者:liuhp
  1. wireless-identification

    0下载:
  2. 设计制作一套无线识别装置。该装置由阅读器、应答器和耦合线圈组成,其方框图见图1。阅读器能识别应答器的有无、编码和存储信息。阅读器应答器耦合线圈外接单电源-Design of a wireless identification device. The device consists of readers, transponders and coupling coils, which block diagram shown in Figure 1. Transponder reader can i
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:1202901
    • 提供者:高俊
  1. ULN2003A_

    0下载:
  2. 在实际应用中一般驱动路数不止一路,用上图的分立电路体积大,很多场合用现成的集成电路作为多路驱动。常用的小型步进电机驱动电路可以用ULN2003或ULN2803。本书配套实验板上用的是ULN2003。ULN2003是高压大电流达林顿晶体管阵列系列产品,具有电流增益高、工作电压高、温度范围宽、带负载能力强等特点,适应于各类要求高速大功率驱动的系统。ULN2003A由7组达林顿晶体管阵列和相应的电阻网络以及钳位二极管网络构成,具有同时驱动7组负载的能力,为单片双极型大功率高速集成电路。ULN2003
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:333025
    • 提供者:嘉顺
  1. Taifatech-TF680

    0下载:
  2. 此应用是为了使个人计算机的影音数据能很容易的透过WiFi 802.11 5G标准来传递具1080p的影音数据,同时利用泰发科技TF680的Full HD TV接收盒的解决方案提供一HDMI接口的影音信号给大尺寸的LCD TV,用以同步显示个人计算机端的影音数据. 如图(一)。 图(一) :泰发科技TF-680 Wireless to 1080P Display解决方法 而TF-680 TV 接收端BOX之内部架构图如图(二),主要是利用一WiFi Module将影音数据收集
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:2419773
    • 提供者:黄顺正
  1. 12864

    0下载:
  2. 接着要做的事就是指定我们的图片要从哪里写入(即写入的XY坐标,这个是最关键,也是最难理解的部分)。因为我们这里是显示一整个画面的图片,所以我们就从12864的第一个点开始显示。那这个点的坐标是怎么定位的呢?我们往这个点写入数据后,要是接着再写数据,那坐标值会怎样变化呢?首先我们要弄清楚12864究竟是怎么把数据写入到GDRAM(绘图显示RAM)中去的。12864(ST7920驱动芯片)把屏幕分成上下两部分(如上图中把垂直坐标分成了两部分的00~1F)。当我们把坐标值写给LCD后(怎么写后面会说)
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:286897
    • 提供者:许扬
  1. an71

    0下载:
  2. scene brings higher performance and lower cost to new markets. Figure 1 shows an example of how high speed 12-bit converters are becoming affordable for the first time to a new range of applications. At the same time, the new converters achie
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:172478
    • 提供者:shriram_26
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com