CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 文件格式 搜索资源 - 自动控制

搜索资源列表

  1. 智能壁障小车

    0下载:
  2. 本设计是基于51单片机来实现的,通过超声波来检测障碍物,自动壁障加红外遥控控制。
  3. 所属分类:文件格式

  1. zidongkongzhililun

    0下载:
  2. 自动控制理论 很好很好的课件 下吧大家都开始把 -Automatic Control Theory courseware very good very good, are you all begin to
  3. 所属分类:File Formats

    • 发布日期:2017-05-02
    • 文件大小:567645
    • 提供者:琴音
  1. jbpm

    0下载:
  2. 如果数据库系统( database systems)像受人尊敬的智者讲述的条理清晰的故事,那么工作流(workflow)就像一群乳臭未干的小子在大谈各自的“哲理”。之所以这样讲,我是想指出,工作流系统 (workflow management systems)还处于技术发展曲线( technology hype curve )上的初级阶段。在这个领域我们将面临一个激动人心的阶段。为了描述这一点,可以和关系数据库系统(RDBMS)做一个对比。当在软件开发团队中谈论RDBMS时,大部分人会有一个清晰
  3. 所属分类:File Formats

    • 发布日期:2017-04-06
    • 文件大小:345845
    • 提供者:康师傅
  1. zidongkongzhiyuanlidaan

    0下载:
  2. 自动控制原理1-7章的答案,可能与其他答案不同,这是学生自己做的。-Automatic Control Theory Chapter 1-7 of the answer, the answer may be different from the other, it is the students themselves do.
  3. 所属分类:File Formats

    • 发布日期:2017-05-03
    • 文件大小:907662
    • 提供者:
  1. ludengsheji

    0下载:
  2. 在信息技术不断发展,人类文明不断进步的时代,越来越多的电子产品开始占们的日常工作和生活,在很多公共场所,只要短时间照明的场所,就需要安装一些电子器件,即能够自动控制开关,在有人的时候亮,人走之后,也能持续一段时间,路灯控制器是一种声光控制的器件,能实现这种功能,即在白天光线比较亮不需要照明的时候,即使有声音,灯也会不亮,而在天黑或光线比较暗的时候,能够使电路工作,自动控制灯打开,而且能够在声音消失后,延时照明一段时间,实现照明的目的。通过查阅大量书籍和资料,才在老师和同学的帮助下,设计出了一个简
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:162435
    • 提供者:延淑琪
  1. TURBO-C

    0下载:
  2. TURBO C自动控制关于8255和8253方面的编程-TURBO C 8255 and 8253 on automatic control aspects of the programming
  3. 所属分类:File Formats

    • 发布日期:2017-05-16
    • 文件大小:4444704
    • 提供者:yewenjie
  1. Kinematics-analysis

    2下载:
  2. 讨论了一种六自由度排爆机械手运动学问题,利用D-H坐标变换方法来建立了机械手的运动学数学模型和目标矩阵,利用 MATLAB 强大的符号运算功能,对方程进行求解,得出正逆运动学的解。通过正运动学的解,可以得出了 解机械手各关节在执行任务时的运动轨迹;而逆运动学的解则可以求出机械手要到达某一位姿机械手各关节的扭角。运动学分析也为今后实现机械手的自动控制提供了设计参数。 -A kinematics promble of a 6-DOF bomb-disposing manipulator was
  3. 所属分类:File Formats

    • 发布日期:2016-08-24
    • 文件大小:992256
    • 提供者:何立
  1. ANN

    0下载:
  2. 神经网络控制是80年代以来,在人工神经网络研究取得的突破性进展基础之上,发展起来的自动控制领域的前沿学科之一-The neural network control is one of the frontier disciplines of the field of automatic control since the 1980s, achieved a breakthrough in artificial neural network research foundation, develope
  3. 所属分类:File Formats

    • 发布日期:2017-11-27
    • 文件大小:2538174
    • 提供者:liuhuacheng
  1. wurenDD

    0下载:
  2. 本文件包含几篇红外线无人自动断电装置设计的论文,是我们项目参考过的资料。对红外线控制自动断电感兴趣的朋友可以参考。-This file contains several infrared the unmanned automatic disconnect device designed paper, we project reference information. Infrared control automatic power-off interest friends can referen
  3. 所属分类:File Formats

    • 发布日期:2017-12-03
    • 文件大小:2143412
    • 提供者:戴箫
  1. MATLAB7.0

    0下载:
  2. 作为当今全世界最流行的第四代计算机语言—MATLAB 系统,由于它在航空航天、生 物医学、物理学、通信、DSP、科学计算、网络控制、自动控制、图形图象处理、生命科 学、系统建模仿真、财务、电子商务、数据分析等不同领域的广泛应用以及它自身所具备 的高度工具化、平台性及优秀的数据可视化功能而具有独特的优势,目前,MATLAB 系统 的开发和利用已极大地被自然科学、管理科学、工程技术以及教育界所关注与重视-As of today the world' s most popular
  3. 所属分类:File Formats

    • 发布日期:2017-11-20
    • 文件大小:4291564
    • 提供者:王力
  1. DANPIANJIJUANLIAN

    0下载:
  2. 单片机控制的红外遥控自动窗帘系统,液晶显示,带源代码-Infrared remote control MCU control automatic curtain system, LCD display, with source code
  3. 所属分类:File Formats

    • 发布日期:2017-05-23
    • 文件大小:7275175
    • 提供者:yangkui
  1. PLC-automatic-door-

    0下载:
  2. PLC自动门控制系统的毕业论文参考资料 -PLC automatic door control system thesis References
  3. 所属分类:File Formats

    • 发布日期:2017-04-08
    • 文件大小:339613
    • 提供者:
  1. baidian

    0下载:
  2. 单片机广泛的应用于工业控制系统、数据采集系统、自动测试系统、 智能仪表和接口等广阔的领域。作为一个电子专业的学生、电子工程应用 设计技术人员倘若不会应用单片机是极为可笑的。   在此我从网上收集了大量的资料包含了单片机初学者入门基本教程、 单片机应用范例、实例程序、希望对大家有用-SCM is widely used in industrial control systems, data acquisition system, automatic test system, B
  3. 所属分类:File Formats

    • 发布日期:2017-05-03
    • 文件大小:514731
    • 提供者:杨平
  1. zidongbaojingxitong

    1下载:
  2. 基于单片机的火灾自动报警系统。火灾是可燃物在时间或空间上失去控制的燃烧所造成的灾害,是威胁公众安全和社会发展的主要灾害之一。智能化火灾报警系统已并非传统意义上的简单的报警设备,而是融入了计算机技术、电子技术、自动控制技术、传感器的应用等各领域知识。-Automatic fire alarm system based on single chip microcomputer
  3. 所属分类:File Formats

    • 发布日期:2017-05-09
    • 文件大小:1964460
    • 提供者:卞强
  1. weiyu

    0下载:
  2. 家庭装自动喂食器,适用于无人看管时自动喂鱼。采用步进电机控制喂食。-The family pack automatic feeding device, suitable for unattended automatic fish. Using stepper motor control feeding.
  3. 所属分类:File Formats

    • 发布日期:2017-04-13
    • 文件大小:1982
    • 提供者:朱长驹
  1. 鲅鱼圈TRT自动控制系统

    0下载:
  2. 鲅鱼圈TRT自动控制系统,介绍TRT的组成和基本运行原理(Bayuquan TRT automatic control system, introducing the composition and basic operating principle of TRT)
  3. 所属分类:文件格式

    • 发布日期:2018-01-10
    • 文件大小:18432
    • 提供者:shaokunpeng
  1. 稳频稳压式单相汽油发电机组介绍

    0下载:
  2. 介绍稳频稳压式单相汽油发电机组的原理、构成及应用领域。恒电压控制的带逆变器的稳频稳压式发电机组对汽油发动机的控制采用的是恒电压变转速控制方法,通过稳速控制器自动适应控制油门的位置,负载在额定范围内变化时,发电机输出给逆变器的电压基本保持不变,但汽油发动机的转速会随着负载的变化而适应变化, 即负载很轻时发电机的转速可以降低很多,负载加重时,转速随之自适应增大,而将50HZ或60HZ的稳频任务交给后续的逆变器完成。
  3. 所属分类:文件格式

    • 发布日期:2019-01-23
    • 文件大小:104960
    • 提供者:wind888_zn
搜珍网 www.dssz.com