CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 编程文档 搜索资源 - vhdl流水灯

搜索资源列表

  1. lsd

    0下载:
  2. 用VHDL编写流水灯 可以使8个LED等变换形式亮灭-Water lights in VHDL can make the transformation in the form of eight LED and other light off
  3. 所属分类:Communication

    • 发布日期:2017-05-06
    • 文件大小:1517280
    • 提供者:Individual
搜珍网 www.dssz.com