CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 两位 比较器

搜索资源列表

  1. VERILOGCOMP

    0下载:
  2. 设计一个字节(8 位)比较器。 要求:比较两个字节的大小,如a[7:0]大于 b[7:0]输出高电平,否则输出低电平,改写测试 模型,使其能进行比较全面的测试 。 -design a byte (8) for comparison. Requirements : To compare the size of two bytes, as a greater than [7:0] b [7:0] output margin. Otherwise, low-level output, re
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7126
    • 提供者:周正华
  1. AD9852CompleteDDSandItsApplication

    1下载:
  2. 摘要:AD9852是美国ANALOGDEVICES公司生产的新型直接数字频率合成器(DDS),具有频率转换速度快(小于lt~s)、频谱纯度高、工作温度范围宽(一25℃~+85℃)、集成度高等特点,是一种使用方便灵活、功能较强的芯片。AD9852由带有48位相位累加的数控振荡器、可墒程参考时钟倍乘器、反向正弦滤波器、计数倍乘器、两个300MHz12住数模转换器、高速模拟比较器和接口逻辑组成。可用于本振合成回路,高精度时钟发生器和FSK//3PSK调制。文中介绍了AD9852的工作原理、引脚功能以厦
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:126957
    • 提供者:梅名
  1. COMPARATORMC14585B

    0下载:
  2. 4位数值比较器MC14585B.能够将两个输入信号比较的各种情况送到输出端口上.本程序基于VHDL语言,开发环境是MAXPLUS2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4659
    • 提供者:weixiaoyu
  1. stasus1-counting

    0下载:
  2. 连1状态计数器与输出控制电路的功能有两个:一个是对状态比较器输出的连1状态进行计数,当计数器的计数量达到设置值是,计数器输出为1,并控制“并行输入与状态控制”电路,使各并行输出位置“0”。这样,状态比较起的各输入位皆为“0”,则其输出为“0”,表示状态已同步;若状态不同步,则连“1”计数器的输出始终为“0”。 连“1”计数器的另一个功能是:当其输出为1时,才使误码计数其进行计数。若在整个系统已同步后,出现了状态失步,则通过图中的误码统计与门限检测电路的输出状态控制连1计数器。en端的信号来
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:252853
    • 提供者:youyou
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. siweibijiaoqi.verilog的四位比较器

    0下载:
  2. verilog的四位比较器,两个4位二进制数的大小比较,结果输出到数码管显示,verilog comparison of the four, two 4-bit binary number of size comparison, the results output to a digital display
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-03-29
    • 文件大小:97439
    • 提供者:浮云
  1. STC12C5A60S2.pdf

    3下载:
  2. STC12C5A60S2/AD/PWM系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电机控制,强干扰场合。 1.增强型8051 CPU,1T,单时钟/机器周期,指令代码完全兼容传统8051; 2.工作电压:STC12C5A60S2系列工作电压:5.5V-3.3V(5V单片机)STC12LE5A
  3. 所属分类:编程文档

    • 发布日期:2012-10-18
    • 文件大小:1967339
    • 提供者:howard_dai
  1. uuu

    0下载:
  2. 用两个中断输送WPM,精度可达到10位,大家可以试试,也可以做AD转换,加个比较器就可以了-Interruption of transmission by two WPM, accuracy can reach 10, we can try, but also can do AD conversion, plus a comparator can be a
  3. 所属分类:Document

    • 发布日期:2017-03-24
    • 文件大小:1358
    • 提供者:xlc
  1. IBM-PC-programanswer

    0下载:
  2. 汇 编 语 言 实 验 实验一:分支程序设计 (1)试比较字数组array中的三个数,并根据比较结果在终端上显示如下信息: 如果三个数都不相等则显示0; 如果三个数有两个相等则显示1; 如果三个数都相等则显示2。 (2)试根据DL寄存器中哪一位为1(从低位到高位)把程序转移到8个不同的程序分支中去。(8个程序分支可设计成显示相应的数据) 实验二:循环程序设计 有一个首地址为array的10个字数组,用简单排序法使该数组中的数按照从小到大
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:100022
    • 提供者:爱之一
  1. adder

    0下载:
  2. 4位二进制数比较器,将两个4位二进制数进行比较-4-bit binary comparator, two four binary comparison
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:201261
    • 提供者:张辉
  1. comparison

    0下载:
  2. 4位二进制比较器,比较两个输入的二进制数-4-bit binary comparator, compare two binary input
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-09
    • 文件大小:165135
    • 提供者:张辉
  1. bijiaoqi

    0下载:
  2. 这是一个用VHDL编写的简单的两位数值比较器,数值类型为BIT型-It s a compare device whice compiled with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2445
    • 提供者:snow
  1. car

    0下载:
  2. 本程序是串口通迅程序。 其主要分为两块: 一、串口调试。在数据监听选项页内。(只能显示HEX) (可在设置选项里面设置串口号、波特率、帧格式。 由于在工作中用到的校验位和停止位比较固定。故在程序里设置一个固定值,无法改变此参数。 "超时设置"可用。 "其它设置"只有一项内容。即打开文本时所选的文本查看器。此处不选中打开的为widows默认的NOTE.exe程序。(我在此处设置的为D:\Program Files\UltraEdit\Uedit32.exe,故以U
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:36995
    • 提供者:王顺
  1. comp

    0下载:
  2. 用VHDL设计实现3位二进制比较器,其中AB为两个数值输入端口,YAYBYCW为比较结果-VHDL Design and Implementation with 3-bit binary comparator which AB values ​ ​ for the two input ports, YAYBYCW to compare the results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:227772
    • 提供者:马媛
  1. msp430x41x

    0下载:
  2. 低电源电压范围为1.8 V至3.6 V 超低功耗: - 主动模式:280μA,在1 MHz,2.2伏 - 待机模式:1.1μA - 关闭模式(RAM保持):0.1μA 五省电模式 欠待机模式唤醒 超过6微秒 16位RISC架构, 125 ns指令周期时间 12位A/ D转换器具有内部 参考,采样和保持,并 AutoScan功能 16位Timer_B随着三† 或七‡ 捕捉/比较随着阴影寄存器 具有三个16位定时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1932014
    • 提供者:苏春明
  1. vhdl

    0下载:
  2. 此程序为VHDL的四位比较器,两位输入,三位输出-This procedure the VHDL four comparators, two input, three output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1342
    • 提供者:马付涛
  1. comp2bit

    0下载:
  2. 两位比较器,所用语言是verilog,开发板是nexys3,开发软件ise13.4-The two comparator, the language used is Verilog, development board is nexys3, ise13.4 software
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-26
    • 文件大小:1183
    • 提供者:dove
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. compare_8

    0下载:
  2. Verilog HDL机器语言中八位比较器的实现,两个八位输入,一个一位的输出。-Eight machine language Verilog HDL source code comparison, two eight-bit input and output a bit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:31477
    • 提供者:李建文
  1. vivado

    2下载:
  2. 用中规模MSI基本逻辑功能模块 实现关模比较器(要求分别使用中规模和语言实现): 功能要求:它的输入是两个8位无符号二进制整数X和Y,以及一个控制信号S;输出信号为1个8位无符号二进制整数Z。输入输出关系为:当S=1时, Z=min(X,Y);当S=0时, Z=max(X,Y)。(Modeling comparator is implemented by using basic logic function modules of medium-scale MSI (medium-scale an
  3. 所属分类:微处理器开发

    • 发布日期:2020-05-12
    • 文件大小:10240
    • 提供者:瘾1581
« 12 »
搜珍网 www.dssz.com