CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 十字路口

搜索资源列表

  1. 十字路口交通灯时实控制与管理

    0下载:
  2. 十字路口交通灯时实控制1、要完成本实验,首先必须了解交通路灯的亮灭规律。设有一个十字路口,1、3为南,北方向, 2、4为东,西方向,初始态为4个路口的红灯全亮。 之后, 1、3路口的绿灯亮, 2、4路口的红灯亮, 1、3路口方向通车。 延迟一段时间后, 1、3路口的绿灯熄灭,而1、3路口的黄灯开始闪烁。闪烁若干次后, 1、3路口的红灯亮, 同时2、4路口的绿灯亮, 2、4路口方向开始通车。 延迟一段时间后, 2、4路口的绿灯熄灭,而黄灯开始闪烁。闪烁若干次后,再切换到1、3路口方向。 之后,重复
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:81427
    • 提供者:郭菲菲
  1. 十字路口交通灯的变化规律要求

    0下载:
  2. 十字路口交通灯的变化规律要求 (1)上面路口的绿灯,下面路口的红灯同时亮30秒左右。 (2)上面路口的黄灯闪烁若干次,同时下面路口红灯继续亮。 (3)上面路口的红灯,下面路口的绿灯同时亮30秒左右。 (4)上面路口的红灯继续亮,同时下面路口的黄灯闪烁若干次。 (5)转(1)重复。
  3. 所属分类:汇编语言

    • 发布日期:2014-01-17
    • 文件大小:3351
    • 提供者:孙和
  1. 十字路口交通灯控制器设计

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:沈燮勇
  1. 微机接口十字路口红绿灯

    0下载:
  2. 微机接口十字路口红绿灯的实现。。源码+详细的线路图
  3. 所属分类:源码下载

  1. 十字路口交通灯控制器

    0下载:
  2. 十字路口交通灯控制器,是课程的结课设计报告,自己写的verilog语言,在quartus ii环境下仿真,具有参考意义。
  3. 所属分类:Windows编程

  1. 两路十字路口的交通灯控制的VHDL源码

    2下载:
  2. 两路十字路口的交通灯控制的VHDL源码,毕业设计,,Two-way traffic lights at the crossroads of the VHDL source code control, graduation design,
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:77531
    • 提供者:wang
  1. traffic_light

    0下载:
  2. 交通灯设计:东西(EW)、南北(SN)两干道交于一个十字路口,各干道有一组红、黄、绿三个指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行,黄灯闪烁表示离红灯亮还有5S时间(警告状态)。本设计给两干道分配了20S和30S的时间选择,可以根据干道上行人车辆流量来分配长短不同的时间。-design of traffic lights
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:269660
    • 提供者:廖杰
  1. traffic-VHDL

    0下载:
  2. 最简便的交通灯控制源代码 适合VHDL初学者 十字路口红绿灯控制,数码管显示-The most simple traffic lights to control the source code for VHDL beginners crossroads traffic lights control, digital display
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:1733
    • 提供者:何柯东
  1. TLK

    0下载:
  2. 采用8253,8255,8259实现交通灯的控制,十字路口,有详细硬件连接图,软件代码以及详细的工作原理-8253,8255,8259 adopted to achieve the control of traffic lights, crossroads, and a detailed plan to connect the hardware, software code, as well as detailed working principle
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:126531
    • 提供者:李立
  1. jiaotongdeng

    0下载:
  2. 以89c52的端口作为输出口,控制4个双色LED灯(可发红、绿、黄光),模拟十字路口交通灯管理。 -To 89c52 port as output, control four two-color LED lights (which can be red, green, yellow), simulating the management of traffic lights at a crossroads.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:5424
    • 提供者:王平
  1. jiaotongdeng

    0下载:
  2. 设计一个简单的十字路口交通灯。交通灯分东西和南北两个方向,均通过数码管和指示灯指示当前的状态。设两个方向的流量相当,红灯时间45s,绿灯时间40s,黄灯时间5s。-Design a simple traffic lights at a crossroads. Traffic lights at East-West and North-South in both directions, both through the digital control and the current status
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:1028
    • 提供者:linyao
  1. 15km

    0下载:
  2. 模拟具有环形岛的十字路口的交通流,可以仿真出的到该路口的最大通行能力。-Simulation with circular island at the crossroads of the traffic flow can be simulation out to the junction, the largest capacity.
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:4555
    • 提供者:高林
  1. led_traffic_light

    0下载:
  2. 点阵式交通灯(采用8*8双色点阵)可模拟十字路口交通灯-Dot-matrix of traffic lights (using two-color dot matrix 8* 8) can simulate the traffic lights at the crossroads
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1830
    • 提供者:舒俊
  1. 1212

    1下载:
  2. 目的 (1) 通过并行接口8255实现十字路口交通灯的模拟控制,进一步掌握对并行口的使用。 (2) L7、L6、L5 作为南北路口的交通灯,L2、L1、L0 作为东西路口的交通灯。编程使六个灯按交通灯变化规律亮灭。要求进行周期性重复控制; 2、基本设计要求: (1) 南北路口的绿灯、东西路口的红灯同时亮20 秒。 (2) 南北路口的黄灯闪烁3 秒,同时东西路口的红灯闪3 秒。 (3) 南北路口的红灯、东西路口的绿灯同时亮90 秒。 (4) 南北路口的红灯、同时东
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:22181
    • 提供者:吴多富
  1. plc

    1下载:
  2. 用PLC实现十字路口交通灯等模拟控制,一个很不错的自己设计的梯形图!包括设计报告,流程图等!希望对相关专业的学生有帮助。-it is very good!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:112762
    • 提供者:张明
  1. 196947shizilu

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-The realization of the use of VHDL crossroads traffic lights controller design for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:29298
    • 提供者:uptownman
  1. crossing-light

    0下载:
  2. 这事我门这学期的操作系统进程一部分的小项目,基本的十字路口交通灯模拟。这是我的版本2,拿来跟大家分享一下-This semester I am the door of the operating system in this part of the process of small projects, the basic simulation of traffic lights at the crossroads. This is my version 2, used to share with
  3. 所属分类:OS Develop

    • 发布日期:2017-05-10
    • 文件大小:2481811
    • 提供者:cyh
  1. jiaotongdeng

    0下载:
  2. 本设计实现了十字路口交通灯控制:倒计时和红绿灯闪亮,并且实现了proteus仿真,可以直观观察到设计实现情况,供参考!-This design enables control of traffic lights at a crossroads: the countdown and the flashing traffic lights, and realized proteus simulation, you can visually observe the realization of the
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:56812
    • 提供者:wangshenghua
  1. trafficlights

    0下载:
  2. 单片机控制十字路口交通灯,东西车道和南北车道交叉组成十字路口,正常情况下,东西、南北两车道轮流放行-SCM control intersection traffic lights, lanes East and West and North-South lane cross composed of a crossroads, under normal circumstances, things, north and south two-lane turns Release
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:2783
    • 提供者:yufang
  1. 十字路口

    2下载:
  2. 有两条道路双向两个车道,即每条路每个方向只有一个车道,两条道路十字交叉。假设车辆只能向前直行,而不允许转弯和后退。如果有4辆车几乎同时到达这个十字路口,如图(a)所示;相互交叉地停下来,如图(b),此时4辆车都将不能继续向前,这是一个典型的死锁问题。从操作系统原理的资源分配观点,如果4辆车都想驶过十字路口,那么对资源的要求如下: 向北行驶的车1需要象限a和b; 向西行驶的车2需要象限b和c; 向南行驶的车3需要象限c和d; 向东行驶的车4需要象限d和a。 clipboa
  3. 所属分类:文章/文档

    • 发布日期:2019-12-09
    • 文件大小:1024
    • 提供者:是草贰啊
« 12 3 4 5 6 7 8 9 10 ... 29 »
搜珍网 www.dssz.com