CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 同步计数器

搜索资源列表

  1. cnt60

    0下载:
  2. 同步计数器和异步计数器在设计时有哪些区别?试用 六进制计数器和一个十进制计数器构成一个六十进制同步计数器。-synchronous and asynchronous counter counter in the design these differences? 6 probation and 229 counters constitute a decimal counter a six decimal synchronous counter.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:848
    • 提供者:sunqionghui
  1. 有译zhup

    0下载:
  2. 交通灯控制电路 一、 设计任务与要求 1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替 运行,每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.黄灯亮时,要求每秒钟闪亮一次 。 二、实验预习要求 1.复习数字系统设计基础。 2.复习多路数据选择器、二进制同步计数器的工作原理。 3.根据交通灯控制系统框图,画出完整的电路图。-a control circuit design tasks and requirements 1. Design
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:969
    • 提供者:刘鹏
  1. 7位二进制计数器

    0下载:
  2. 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
  3. 所属分类:VHDL编程

  1. 实验8 含异步清零和同步使能的计数器的设计

    0下载:
  2. 该压缩包内是一个含异步清零和同步清零的计数器,内还有源代码以及说明文档
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-25
    • 文件大小:711989
    • 提供者:18azrael
  1. 用 vhdl 设计含异步清零和同步时钟使能

    0下载:
  2. 用 vhdl 设计含异步清零和同步时钟使能的十进制加法计数器。再用 vhdl 设计含异步清零和同步时钟使能的十进制加减可控计数器。 -With vhdl design with asynchronous clear and synchronous clock enable decimal up counter. Vhdl design and then synchronize with asynchronous clear and clock enable control counter
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-22
    • 文件大小:1024
    • 提供者:釉雪Dreamer
  1. comp_16

    0下载:
  2. 设计16位同步计数器 要求:(1)分析16位同步计数器结构和电路特点; (2)用硬件描述语言进行设计; (3)编写测试仿真并进行仿真。-Design 16-bit synchronous counter requirements: (1) analysis of the 16-bit synchronous counter and circuit characteristics (2) hardware descr iption language design (3) pre
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:20543
    • 提供者:15416
  1. SingleclocksynchronousdesignmetricCNTR

    0下载:
  2. 用VHDL 设计的单时钟同步十进制可逆计数器的设计-VHDL design using a single clock synchronization decimal CNTR Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:543
    • 提供者:pengy
  1. cnt6

    0下载:
  2. vhdl,无进位同步计数器,完成6进制加,输出6进制序列数-vhdl, non-binary synchronous counter to complete the six binary Canada, output 6, the number of binary sequences
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:37680
    • 提供者:王晓虎
  1. AdditionCounter

    0下载:
  2. 一个带有异步复位和同步时钟使能的十进制加法计数器-Asynchronous reset and synchronization with a clock enable decimal addition counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:588
    • 提供者:John
  1. 3

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。-Design a synchronous counter 10, with a clear end, a carry output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5981
    • 提供者:李小勇
  1. CNT10

    0下载:
  2. 十进制计数器,实现异步复位,同步清零功能, 方法简单易行,通过时序验证.-Decimal counter, asynchronous reset, synchronous clear function, simple and easy, by timing verification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:201253
    • 提供者:寒星
  1. cnt10.vhd

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。(如果改成六进制,应该如何修改程序) 计数器分为同步计数器和异步计数器两种,是典型的时序电路,分析计数器就能更好的了解时序电路的特性。所谓同步计数器,就是在时钟脉冲的控制下,构成计数器的各触发器同时发生变化的那一类计数器。异步计数器又称行波计数器,它的下一位计数器的输出作为上一位计数器的时钟信号,这样一级一级串接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1054
    • 提供者:chenguoxian
  1. vhdl

    0下载:
  2. 8421BCD码同步计数器,序列信号发生器,状态机设计-8421BCD code synchronization counter, serial signal generator, the state machine design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2342
    • 提供者:邢菲
  1. eightbitcounter

    0下载:
  2. 8比特同步计数器,采用三态门控制其输入和输出- 8-bit up and down synchronous counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:802
    • 提供者:俊俊
  1. verilog

    0下载:
  2. Verilog学习例程:4位二进制数的乘法器、5分频器、8位数据寄存器、8位移位寄存器、边沿D触发起门级设计、边沿D触发器行为级设计、同步计数器、异步计数器-Verilog learning routines: 4-bit binary number multiplier, 5 dividers, 8-bit data registers, 8-bit shift register, edge-triggered D gate-level design, level design edge D
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1067292
    • 提供者:城管111
  1. jiep

    0下载:
  2. 两个程序,其一为节拍发生器,其二为同步计数器-Two programs, one to beat generator, and the second synchronous counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:894
    • 提供者:马付涛
  1. johnson

    0下载:
  2. johnson计数器是一种同步计数器,每一次之变化一位,具有最简的组合逻辑电路。-johnson counter is a synchronous counter, each followed by a change, with the most simple combinational logic circuit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:532
    • 提供者:汪波
  1. 电子科技大学数电电子实验-同步计数器

    0下载:
  2. 电子实验,关于同步计数器的实验,这是课件,有详细的讲解
  3. 所属分类:其它资源

  1. async_counter_verilog

    0下载:
  2. 这是用verilog 实现的同步计数器。(this is a code for synchronous counter written in verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:6144
    • 提供者:adonis85101
  1. 4位二进制同步计数器

    3下载:
  2. 用Verilog语言实现4位二进制同步计数器的功能(Write a program in Verilog language to implement the fouction of Four binary synchronous counters.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:31744
    • 提供者:limaozi
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com