CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并行 串行

搜索资源列表

  1. 大容量串行false45DB081 c51读写源程序

    0下载:
  2. 串行大容量存储器的c51 读写源程序特点:占用io口线少,缺点:读写时间比并行时间长-Serial large memory read and write the source decoder features : io occupied mouth lines, shortcomings : reading and writing than parallel long time
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4633
    • 提供者:徐中阳
  1. 74hc595串行输入,串、并行输出芯片及其应用程序

    1下载:
  2. 74hc595 串行输入,串、并行输出芯片 及其应用程序-74hc595 serial input, series and parallel output chips and application procedures
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:229244
    • 提供者:zzzaaa
  1. 微机串行通信实验报告

    0下载:
  2. 计算机中传输数据的方式有两种:并行方式和串行方式。在IBM PC机的汇编语言中,对串口的编程方式有DOS和BIOS 系统功能中断调用。本次实验设计采用BIOS系统功能中断调用,即通过INT 14H,调用ROM BIOS串口通信例行程序。-computer transmission of data in two different ways : parallel and serial mode approach. IBM PC assembly language, the serial progr
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:9680
    • 提供者:feng
  1. 一个很好的讲CRC的文档

    2下载:
  2. 很好的一个讲CRC校验的文档,串行和并行的都有,不错哦,共享给大家
  3. 所属分类:报告论文

    • 发布日期:2011-08-30
    • 文件大小:210591
    • 提供者:lightfield
  1. 12864 串行,并行,操作例程

    1下载:
  2. 12864 串行,并行,操作例程
  3. 所属分类:源码下载

    • 发布日期:2012-04-11
    • 文件大小:90316
    • 提供者:yinwei999
  1. 两款常用的LCD的串行和并行2中驱动方式

    0下载:
  2. 这个程序包包括对两款常用的LCD的串行和并行2中驱动方式: HDG12864液晶仿真 HY12864液晶驱动 HY12864液晶阅读器 LCD1602液晶显示 LCD显示PC-MCU串口通信 FYD12864并行8位.c FYD12864并行8位.c,This package includes two commonly used in the serial and parallel LCD Medium 2 drive: HDG12864 LCD simulation HY1286
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-01-25
    • 文件大小:53501
    • 提供者:杨鹏
  1. 与多摩川编码器匹配使用的将串行数据转换为并行数据的转换芯片

    3下载:
  2. 与多摩川编码器匹配使用的将串行数据转换为并行数据的转换芯片,Tamagawa encoder and used to match the serial data is converted to parallel data conversion chip
  3. 所属分类:其它文档

    • 发布日期:2013-10-26
    • 文件大小:1923930
    • 提供者:程晓
  1. shifter.实现串行数据与并行数据的转换

    2下载:
  2. 8位双向移位寄存器: 实现串行数据与并行数据的转换,移位寄存数据功能的,8-bit bi-directional shift register: the realization of serial data and parallel data conversion, data storage function of displacement
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:45758
    • 提供者:罗子
  1. 并行通信和串行通信介绍

    0下载:
  2. 并行通信和串行通信是CPU与外部设备之间进行信息交换的基本方法。采用并行通信时,构成一个字符或数据的各位同时传送,每一位都占用一条通信线,另外还需要联络以保证和外围设备协调地工作,它具有较高的传输速度。但由于在长线上驱动和接收信号较困难,驱动和接收电路较复杂,因此并行通信的传输距离受到限制,这种通信方式多用于计算机内部,或者作为计算机与近距离外围设备传输信息用。,Parallel and serial communication is communication between the CPU
  3. 所属分类:行业发展研究

    • 发布日期:2017-03-22
    • 文件大小:11478
    • 提供者:jiangyan
  1. hh.rar

    0下载:
  2. 串行输入并行输出 用vhdl语言描述的 有源代码主打色,Serial input parallel output using vhdl language to describe the main color of the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:572
    • 提供者:吴越
  1. 用VHDL语言将并行的8位数据换成串行输出

    1下载:
  2. 用VHDL语言将并行的8位数据换成串行输出-The parallel 8 is the data replaced with the serial output
  3. 所属分类:VHDL编程

    • 发布日期:2017-10-31
    • 文件大小:304895
    • 提供者:baiyouyun
  1. ADcontroller

    1下载:
  2. FPGA控制AD7610采样.此为通用控制器模块.其中ADC16BITs串行传入FPGA后,串行数据转换成16BIT并行数据-ADC controller
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-19
    • 文件大小:273989
    • 提供者:王光耀
  1. chuanbingvhdl

    0下载:
  2. 由于计算机中大部分器件使用的是串行,本程序实现了数字电路中常用的串行输入并行输出的功能。-Because most of the computer using a serial device, the program realization of digital circuits used in serial input parallel output function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1352
    • 提供者:yifang
  1. liuVHDL

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,-Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-04-08
    • 文件大小:52715
    • 提供者:刘广清
  1. ad7980

    2下载:
  2. DSP并行读取串行接口A/D芯片的VHDL接口程序-VHDL Interface Program between DSP (parrel interface) and AD7980 (Serial interface)
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:7775
    • 提供者:zhou
  1. sum

    0下载:
  2. 基于多核的并行算法设计,计算一个连续数列的和-The parallel algorithm based on multi-core design, computing a continuous series and
  3. 所属分类:OS Develop

    • 发布日期:2017-05-10
    • 文件大小:2406588
    • 提供者:tstao
  1. shiftregister_32

    0下载:
  2. 长度为8的32bit串入并出移位寄存器,它的功能就是对32bit的并行信号作串行输入,并行输出处理-Length of 8 for 32bit serial in parallel out shift register
  3. 所属分类:GIS program

    • 发布日期:2017-04-05
    • 文件大小:805
    • 提供者:林伟
  1. CRC_16

    0下载:
  2. crc16的串行和并行写法,而且有详细的测试文件-Serial and parallel crc16 written, and detailed test documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:20118
    • 提供者:Jammy
  1. LCD

    0下载:
  2. AVR LCD(12864)的接口程序,包括串行和并行两个接口程序。-AVR LCD(12864) interface program,include serial and ...
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:3467
    • 提供者:joans
  1. ser_par

    0下载:
  2. 24bitAD数据采样进行串并转换,并行输出。另包括24位DA并串转换,串行输出。-24bitAD data sampling and converted to strings, parallel output. Other notable features include 24-bit DA and string conversion, serial output.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2491
    • 提供者:caofangfang
« 12 3 4 5 6 7 8 9 10 ... 29 »
搜珍网 www.dssz.com