CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电梯 八层

搜索资源列表

  1. dianti

    2下载:
  2. 用VHDL 语言描述度八层电梯,其开发均在FPGA中-using VHDL descr iption was complicated lifts, the development is in FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:356626
    • 提供者:侯同
  1. 8_FLOOR_CR1

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(一)(单动)
  3. 所属分类:其他嵌入式/单片机内容

  1. 8_FLOOR_CR2

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(2)(单动)
  3. 所属分类:其他嵌入式/单片机内容

  1. 8_FLOOR_CR3

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(3)(单动)
  3. 所属分类:其他嵌入式/单片机内容

  1. 8_FLOOR_CR4

    0下载:
  2. 8_FLOOR_CR1是八层电梯PLC原理图(4)(单动)
  3. 所属分类:其他嵌入式/单片机内容

  1. lift

    0下载:
  2. 模拟某校九层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。九个楼层由下至上依次称为地下一层、第一层、第二层、……第八层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 乘客可随时地进出于任何层。对于每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出时,电梯每隔40t检测一次,若无人进出,则关门;关门和开门各
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6490
    • 提供者:赵志春
  1. dianti

    0下载:
  2. 模拟某校九层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。九个楼层由下至上依次称为地下一层、第一层、第二层、……第八层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 乘客可随时地进出于任何层。对于每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出时,电梯每隔40t检测一次,若无人进出,则关门;关门和开门各
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:3645
    • 提供者:赵志春
  1. elivator_control

    0下载:
  2. 电梯控制器 用于八层楼双电梯的协调调度 可进行扩展
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:544947
    • 提供者:王鹤
  1. elev

    0下载:
  2. Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信号,并按如下运行规则依次相应:运行过程中先响应最早的请求,再
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:77109
    • 提供者:Fly
  1. dianti

    0下载:
  2. 模拟某校九层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。九个楼层由下至上依次称为地下一层、第一层、第二层、……第八层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 乘客可随时地进出于任何层。对于每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出时,电梯每隔40t检测一次,若无人进出,则关门;关门和开门各
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:3017
    • 提供者:啊啊
  1. LiftControl

    0下载:
  2. 一个简单的电梯控制系统,主要实现一个八层楼的电梯控制.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2157
    • 提供者:易青山
  1. 1

    0下载:
  2. 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信号,并按如下运行规则依次相应:运行过程中先响应最早的请求,再响应后续的请求。如果无请求则停留当前层
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:76864
    • 提供者:one song
  1. lift

    0下载:
  2. 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 -Design a controller, eight-story buildings, escalators, moving elevator with eight LED display process, and a digital display where the floor lift the current loc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:77165
    • 提供者:zhaorongjian
  1. liftvhdl

    0下载:
  2. 四层电梯vhdl 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:9271
    • 提供者:林姗
  1. diantikongzhiqi

    1下载:
  2. 基于Verilog的八层电梯设计,能够实现自动化的电梯控制。-Verilog based on the eight-lift designed to automate the elevator control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:123259
    • 提供者:xiaohao
  1. dianti

    0下载:
  2. 实现八层模拟电梯,接口课程设计. 8255,8253-模拟电梯 8255
  3. 所属分类:Document

    • 发布日期:2017-04-05
    • 文件大小:79087
    • 提供者:zhangyong
  1. dianti

    0下载:
  2. 八层电梯程序,使用单片机控制步进电机,有到达楼层显示,报警-Eight-story elevator program, use the stepping motor, there arrived floor display, alarm
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:8520
    • 提供者: 蒋扬方
  1. elevator

    1下载:
  2. 八层电梯,有密码开关,警报开关,quartusⅡ综合,cycloneⅤ的板子(There are password switches, alarm switches, and eight layers of elevator display, Quartus II synthesis, cyclone V board.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-04-29
    • 文件大小:3072
    • 提供者:满树榆钱儿
  1. 8层电梯控制器

    1下载:
  2. 自动电梯控制器,电梯内有八个输入按钮响应用户的上下楼层请求,并有八段数码管显示电梯当前所在楼层位置(there are eight input buttons in the elevator to respond to the user's request for going up and down the floor)
  3. 所属分类:VHDL编程

    • 发布日期:2021-03-04
    • 文件大小:1684480
    • 提供者:wtq0
  1. 电梯控制系统

    0下载:
  2. 用labview编写,有十八层,每层可以按楼层
  3. 所属分类:其它资源

« 12 3 »
搜珍网 www.dssz.com