CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 进位加法

搜索资源列表

  1. 4bits_alu

    0下载:
  2. 实现4位加减乘除的alu,采用超前进位加法和布斯乘法,代码较为简单。-achieve four of the ALU arithmetic using CLA Bush and multiplication, code more simple.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:262257
    • 提供者:陈晓炜
  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时间延迟为(n-1)2T.当然,为了缩短加法时间,最后一行的行
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47357
    • 提供者:fred
  1. MCS-51定点运算子程序库

    0下载:
  2. MCS-51定点运算子程序库,定点运算子程序库文件名为DQ51.ASM,为便于使用,先将有关约定说明如下: 1.多字节定点操作数:用[R0]或[R1]来表示存放在由R0或R1指示的连续单元中的数 据。地址小的单元存放数据的高字节。例如:[R0]=123456H,若(R0)=30H,则(30H)=12H, (31H)=34H,(32H)=56H。 2.运算精度:单次定点运算精度为结果最低位的当量值。 3.工作区:数据工作区固定在PSW、A、B、R2~R7,用户只要不在
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:2861
    • 提供者:limengsi
  1. bcd

    0下载:
  2. 功能:多字节BCD码加法和减法 入口条件:字节数在R7中,被加数在[R0]中,加数在[R1]中。 出口信息:和在[R0]中,最高位进位在CY中。 影响资源:PSW、A、R2 堆栈需求: 2字节
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3443
    • 提供者:kos
  1. VHDL_add_4

    0下载:
  2. 本程序完成带进位输入输出的四位二进制加法运算,编程思想采用真值表转换成布尔方程式,利用循环语句将一位全加器编为四位加法器。
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:94759
    • 提供者:韩善华
  1. DEC_ADD

    0下载:
  2. 16位10进制加法程序,KEIL51编写,适合单片机
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:14218
    • 提供者:yanyjjzs33
  1. xapp371

    0下载:
  2. xilinx里的乘法器ip核程序,booth乘法 wallace tree算法 4-2压缩编码 超前进位加法
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:87798
    • 提供者:王凯
  1. adder8b.rar

    0下载:
  2. 用VHDL设计一个八位并行加法器,该八位并行加法器是有两个四位二进制并行加法器通过级联而成,先设计两个四位二进制并行加法器分别表示八位数中的低四位和高四位以及其加法(含进位),再将两个四位并行加法器级联成一个八位并行加法器。这种方法原理简单,资源利用率和进位速度方面都比较好。,VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:189838
    • 提供者:赵祥
  1. 10fenpingqi

    0下载:
  2. 1、分别用IF语句和CASE语句设设计一个10分频器。 2、设计一个24进制加法计数器。 3、设计一个有使能端控制的4位减法计数器。 4、用case语句设计一个3-8译码电路 5、用CASE语句设计一个共阳极的七段译码电路。 6、已知输入信号为6MHZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 7、已知输入信号为9HZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 -1, respectively, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:fox
  1. CPPmathgame

    0下载:
  2. 自动出题20以内退位减法,并进行了创新设计 1) 可以自动出题进位加法题目,如:3+8=?,或?+8=16 或7+?=12。 2) 可以自动出乘法 如: 2*5=?或?*5=35或8*?=32。 3) 随机决定当前题目是进位加法、乘法和退位减法题目。-Automatic title 20 or less the abdication subtraction, and the innovative design 1) can be automatically out of the
  3. 所属分类:Console

    • 发布日期:2017-03-29
    • 文件大小:682
    • 提供者:李明
  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. VHDLadderdoc

    0下载:
  2. 为了减轻大家负担,在次把带进位输入的8位加法计数器上传,希望能出分;力-In order to alleviate the burden on everyone, in time to enter into an 8-bit adder counter From the hope of a points force
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:377456
    • 提供者:
  1. VHDLaddercode

    0下载:
  2. 为了给大家紧张的工作减轻点负担,我把带进位输入的8位加法计数器上传在此,希望大家支持-In order to alleviate the intense work we point the burden, I entered into the 8-bit adder counter From Here, I hope you will support
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1362467
    • 提供者:
  1. ALU

    0下载:
  2. ALU加法器的设计,实现带进位的加法运算!-ALU adder design, the realization of the adder into the bit computing!
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:36159
    • 提供者:cgrcgh
  1. danpianji

    0下载:
  2. 1.程序一:在显示器上显示四位十六进制数; 2.程序二:将8个键按实验室要求定义键值,按任意键在显示器上显示对应键值,要求显示能左移 3.程序三实现以下要求: a).定义键盘按键,5个为数字键,3个功能键:加号+,乘号*,等号=; b).可进行三位16进制加法运算; c).可进行两位16进制乘法运算。 -1. Procedure: in the display to show four hexadecimal number 2. Procedure II: 8 k
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:14173
    • 提供者:无语
  1. adder17

    0下载:
  2. 实现17位加法,利用一个16位超前进位加法器和一个一位全加器构成的一个有进位输入和进位输出的17加法器,并且16位加法器利用的使四位超前进位加法器构成。它在booth乘法器设计中经常用到。可以使初学者对模块的调用了解更加透彻。-Adder 17 to achieve the use of a 16-bit CLA, and a one-bit full adder composed of a binary input and binary output of the adder 17, and
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1580
    • 提供者:htpq
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. BCD

    0下载:
  2. 51单片机实现,用汇编实现BCD码的十进制加法,低位不带进位加法,高位带进位加法-51 assembly to achieve BCD coded decimal addition
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:9045
    • 提供者:liwenjin
  1. adder

    0下载:
  2. 实现各种加法器的功能,包括4位及8位超前进位,4位及8位逐次进位加法-The various adder functions, including four and eight lookahead, 4-bit and 8-bit successive-carry adder
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:36201
    • 提供者:李炜
  1. adder

    0下载:
  2. 进位加法,实现两个数的相加功能,可以扩展到多位数相加(Carry addition, to achieve the addition function of two numbers, can be extended to the number of add)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:258048
    • 提供者:凌风ts
« 12 3 4 »
搜珍网 www.dssz.com