CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 10分频器

搜索资源列表

  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. fenpin1

    0下载:
  2. VHDL分频器,利用分频比较错法,要实现K=324/28=8.3571428571...的分频周期为28,18个8分频和10个9分频循环,所以设一个0到27的循环计数器,每当1、4、7、10、13、16、19、22、27时进行9分频,其他时为8分频;为使占空比尽量接近50%,需要在每一个8或9分频中设定一下输出几个时钟的0和1。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1080
    • 提供者:wx
  1. 分频器FENPIN1

    0下载:
  2. EDA中常用模块VHDL程序,不同时基的计数器由同一个外部是中输入时必备的分频函数。分频器FENPIN1/2/3(50分频=1HZ,25分频=2HZ,10分频=5HZ。稍微改变程序即可实现)-EDA VHDL modules commonly used procedure, the time - with a counter by the external input is required when the sub-frequency functions. Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3131
    • 提供者:李培
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 10fenpingqi

    0下载:
  2. 1、分别用IF语句和CASE语句设设计一个10分频器。 2、设计一个24进制加法计数器。 3、设计一个有使能端控制的4位减法计数器。 4、用case语句设计一个3-8译码电路 5、用CASE语句设计一个共阳极的七段译码电路。 6、已知输入信号为6MHZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 7、已知输入信号为9HZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 -1, respectively, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:fox
  1. SHUZIMIAOBIAO

    0下载:
  2. 秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。 秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响10声。 -Stopwatch logical structure is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6156
    • 提供者:朱书洪
  1. shuzizhongdianlu

    0下载:
  2. 利用计数器和分频器设计一个实时的时钟。一共需要1个模24计数器、2个模6计数器、2个模10计数器、一个生成1Hz的分频器和6个数码管解码器。最终用HEX5~HEX4显示小时(0~23),用HEX3~HEX2显示分钟(0~59),用HEX1~HEX0显示秒钟(0~59)。 -The use of counters and prescaler design a real-time clock. Mold needs a total of 24 counters, 2 Die 6 counters,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1043
    • 提供者:linyao
  1. fenpinqi

    0下载:
  2. 此文件为EDA的8位分频器,但可以用于不同位分频器,如:1位到10位等,用Quartus软件来,以文件VHD格式编译即可-This document is for EDA 8-bit prescaler, the prescaler can be used in different places, such as: 1-10 and so on, using Quartus software to VHD format file can be compiled
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:119235
    • 提供者:ni yeye
  1. quartus-work

    0下载:
  2. 基于FPGA的VERILOG的分频器的设计,10分频设计的源代码和设计思路-Based od FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2404
    • 提供者:熊淑芬
  1. led(10-1)

    0下载:
  2. DSPF2812学习程序: 描述:利用GP定时器1在GPIOF8引脚上产生方波,令一个贴片LED闪烁 系统时钟150M,高速外设时钟25M,128分频后定时器周期为5.12us,适于初学者学习-DSPF2812 learning process: Descr iption: Using the GP Timer 1 GPIOF8 pin to generate a square wave, so that a flashing LED chip system clock 150M, high
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:318029
    • 提供者:panda
  1. lcd(10-3)

    0下载:
  2. DSPF2812学习程序: 利用GP定时器4的比较器在产生PWM波,控制LCD背光亮度,系统时钟150M,高速外设时钟25M,128分频后定时器为5.12us,适于初学者学习-DSPF2812 learning process: the use of GP timer 4 comparison of PWM wave generating device to control the LCD backlight brightness, the system clock 150M, high-spe
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:307810
    • 提供者:panda
  1. Div

    0下载:
  2. VHDL新手入门:10分频器的实现 附带波形仿真 -VHDL Getting Started: 10 dividers with waveform simulation implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34597
    • 提供者:Domo
  1. EDA-fenpinqi

    0下载:
  2. EDA多级分频器图形设计,频器输入频率为10 MHz,输出频率为1 Hz。分频器顶层图形文件设计、例化模块图形文件设计。 -Multi-level divider graphic design, frequency input frequency of 10 MHz, the output frequency of 1 Hz. Divider top-level design of graphics files, for example, graphics files of the modu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:33747
    • 提供者:范骏
  1. TIMER1

    0下载:
  2. TIMER-1 : 定时器上溢。 TIMER-2 : 强置输出模式。 TIMER-3 : 输出比较模式。 TIMER-4 : PWM1模式。 TIMER-5 : 输入捕获模式(结果硬件仿真观察)。 TIMER-6 : PWM输入模式。 TIMER-7 : 单脉冲模式。 TIMER-8 : TIMER2作为TIMER3的分频器,即TIMER3的时钟由TIMER2提供。 TIMER-9 : TIMER2使能TIMER3(时钟都用内部时钟,两个非同步)。 TIMER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3952388
    • 提供者:陈立
  1. TIMER2

    0下载:
  2. TIMER-1 : 定时器上溢。 TIMER-2 : 强置输出模式。 TIMER-3 : 输出比较模式。 TIMER-4 : PWM1模式。 TIMER-5 : 输入捕获模式(结果硬件仿真观察)。 TIMER-6 : PWM输入模式。 TIMER-7 : 单脉冲模式。 TIMER-8 : TIMER2作为TIMER3的分频器,即TIMER3的时钟由TIMER2提供。 TIMER-9 : TIMER2使能TIMER3(时钟都用内部时钟,两个非同步)。 TIMER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4589401
    • 提供者:陈立
  1. STM32_TIMx

    2下载:
  2. STM32入门篇之通用定时器彻底研究 TIMER-1:定时器上溢,中断中取反LED TIMER-2:强置输出 TIMER-3:输出比较 TIMER-4:PWM输出 TIMER-7:单脉冲方式 TIMER-5:输入捕获模式 TIMER-6:PWM输入 TIMER-8: TIMER2作为TIMER3的分频器 TIMER-9:TIMER2来使能TIMER3 TIMER-10: IMER2启动TIMER3 TIMER-11: TIMER4的通道1同时出发TIM
  3. 所属分类:SCM

    • 发布日期:2017-05-18
    • 文件大小:4991061
    • 提供者:kinng
  1. div

    0下载:
  2. Quartus下VHDL语言编写的常用分频器(2、4、5、8、10、50、100)等,包含模块图。-Frequency divider in common use under Quartus environment,with module block
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:9314
    • 提供者:陈国庆
  1. SCHK

    0下载:
  2. 10位序列检测器,有序列产生,分频器,按键消抖,序列检测,数码管扫描等几个模块构成,设计天津工业大学课程设计-10 sequence detector with sequence generation, dividers, key debounce, sequence detection, digital scanning, and several other modules, curriculum design, Tianjin Polytechnic University
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17177551
    • 提供者:ai
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. 新建 WinRAR 压缩文件

    0下载:
  2. 将一个1Mhz的信号分频成100khz、10khz、1khz、100hz。实验要求每相差十倍频率就有脉冲输出,推荐采用十进制计数器对信号进行分频,即判断输入信号上升沿或下降沿的个数,每计满5个即让输出信号电平翻转,以此实现10分频。(Divide a 1Mhz signal into 100kHz, 10kHz, 1kHz and 100Hz. The experiment requires that every ten times the frequency of the difference
  3. 所属分类:Windows编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:233eeee
« 12 »
搜珍网 www.dssz.com