CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 24进制计数器

搜索资源列表

  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. dianzishizhong

    0下载:
  2. 题目:电子时钟的设计 一、实验目的: 1. 掌握多位计数器相连的设计方法。 2. 掌握十进制、六十进制、二十四进制计数器的设计方法。 3. 继续巩固多位数码管的驱动及编码。 4. 掌握扬声器的驱动 5. 掌握EPLD技术的层次化设计方法 二、实验要求: 1.用时、分、秒计数显示功能,以24小时循环计时。 2.具用清零,调节小时、分钟功能。 3.具用整点报时功能。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:167964
    • 提供者:li
  1. 10fenpingqi

    0下载:
  2. 1、分别用IF语句和CASE语句设设计一个10分频器。 2、设计一个24进制加法计数器。 3、设计一个有使能端控制的4位减法计数器。 4、用case语句设计一个3-8译码电路 5、用CASE语句设计一个共阳极的七段译码电路。 6、已知输入信号为6MHZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 7、已知输入信号为9HZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 -1, respectively, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:fox
  1. VHDL1

    0下载:
  2. 数字电子时钟中,秒和分要求要有60进制计数器和24进制计数器,此为60进制计数器-Digital electronic clock, the seconds and sub-band requires 60 counters and 24-ary counter, this counter is 60 hexadecimal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:900
    • 提供者:张智焜
  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. cnt24_t

    0下载:
  2. 这是二十四进制计数器的源程序,有需要的同学可以参照一下!-This is 24 hexadecimal counter source, needy students can refer to you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:48900
    • 提供者:逗号
  1. Shuma

    0下载:
  2. 完整的电子钟程序,包含报时、定时、闹表的功能,其中包含了二十四进制,60进制计数器的设计,和顶层文件-Complete procedures for the electronic bell, including the time, from time to time, to make the function table, which contains 24 hexadecimal, 60 hexadecimal counter design, and top-level document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:908104
    • 提供者:李坤鹏
  1. ElectronicClockandsimulationwithVHDL

    0下载:
  2. 电子时钟VHDL程序与仿真。包括:10进制计数器设计与仿真,6进制计数器设计与仿真,24进制计数器设计与仿真.-Electronic Clock and simulation of VHDL program. Includes: 10 binary counter design and simulation, 6 binary counter design and simulation, 24 binary counter design and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:63221
    • 提供者:Zhu
  1. Counter

    0下载:
  2. 所谓24进制计数器,要在数码管上直观的显示0,1…..22,23等数,再归零-The so-called binary counter 24 to the digital control on the visual display 0,1 ... .. 22,23 and a few, then zero
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:122223
    • 提供者:xiejun
  1. clock1

    0下载:
  2. 24进制计数器,可循环计数,用于24小时计数!-24 binary counter, counting can be recycled for 24 hours counting!
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:559071
    • 提供者:aa
  1. count_24

    0下载:
  2. 24进制计数器,是利用VHDL编写的,还可以,上传下-24 binary counter, is written using VHDL, you can also upload the next
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:19055
    • 提供者:huyumeng
  1. 60

    0下载:
  2. 模为24进制计数器的VHDL语言代码,开发环境可以是Quartus 2软件-24 binary counter module VHDL language code, development environment, Quartus 2 software can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:134714
    • 提供者:郭盼鹏
  1. digit_clock

    0下载:
  2. 1) 计时计数器用24进制计时器电路。 2) 可手动校时,能清零及分别进行时、分、秒的校正。 3) 可整点报时,扬声器发出时长为1s的信号。 4) 可设置闹钟功能。当计时计到预定时间时,扬声器发出闹铃信号,可控制闹铃时长。 -clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:864622
    • 提供者:栋栋
  1. counter24

    0下载:
  2. 在数码管上实现24进制计数,当计数达到23时下一次再来脉冲,计数器归零,同时进位端置一-It is a counter base-24
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2814
    • 提供者:杨洋
  1. digital-clock

    1下载:
  2. 数字钟是计时仪器,它的功能大家都很熟悉。本实验对设计的电子钟要求为: 1.能够对s(秒)、min(分)和h(小时)进行计时,每日按24h计时制; 2.min和h位能够调整; 3.设计要求使用自顶向下的设计方法。 数字钟的功能实际上是对s信号计数。实验板上可提供2Hz的时钟,二分频后可产生s时钟。数字钟结构上可分为两个部分c计数器和显示器。计数器又可分为s计数器、min计数器和h计数器。s计数器和min计数器由6进制和10进制计数器构成,小时计数器较复杂,需要设计一个24(或12)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11771
    • 提供者:hanbaoshuai
  1. 18073609

    0下载:
  2. 利用两片74160制成的24/12进制计数器,可以作为数字钟的一部分-Made use of two 74 160 24/12 binary counter, digital clock can be used as part of
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:316101
    • 提供者:郑浩
  1. Counter24hour

    0下载:
  2. 用VHDL语言编写的一个二十四进制计数器,一个脉冲输入引脚,一个复位输入端,四个BCD码输出端。与我另外的八个模块是配配套的。-A 24 binary counter programmed with VHDL language.A pulse input, a reset input, four output BCD code. It is one of my total 9 modules that are used to design a digital clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:169876
    • 提供者:chzhsen
  1. cnt24

    0下载:
  2. 24进制计数器,实现了电子时钟小时位的24进制计数-24 hex counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3237
    • 提供者:Tom
  1. EDA-experimental-guide-book

    0下载:
  2. 利用QUARTUS II 8.1软件进行简单的EDA设计。该实验指导书原理阐述清楚,内容详尽,实验过程描述清楚,每一个实验步骤都有具体的截图。该实验指导书包括四个基本实验:实验1 QUARTUS II 8.1软件的使用;实验2 图形法设计24进制计数器;实验3 60进制计数器;实验4 简易数字钟。-Use QUARTUS II 8.1 software for simple EDA design. The experiment instructions Rationale clear, deta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2539204
    • 提供者:xiaoyezi
  1. led_24_terminal

    0下载:
  2. 这是一段用VHDL语言写的24进制计数器,用数码管显示,我用了例化语句,分为24进制计数器模块,十位译码,个位译码,用cycloneII ep2系列实验板验证,能计数0~23。此程序还可以修改为100以内任何进制计数器。-This is a written in VHDL language 24 a binary counter, using digital tube display, I used the instantiated statements, divided into 24 hex
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:352802
    • 提供者:高安
« 12 »
搜珍网 www.dssz.com