CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - APB Bus

搜索资源列表

  1. AMBA-Bus_Verilog_Model

    3下载:
  2. 该源码包是2.0版本的AMBA总线的Verilog语言模型,主要包括5个部分:AHB总线仲裁器,AHB-APB总线桥接器,AHB总线上从设备ROM模型,AHB总线上从设备RAM模型,参数定义。-This source code package is the model of V2.0 AMBA bus of ARM company, It mainly includes the following five parts: the AHB arbiter,AHB-APB bridge, AHB_R
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-23
    • 文件大小:17408
    • 提供者:jinjin
  1. a_vhdl_8253_timer_latest.tar

    0下载:
  2. 一个apb总线控制8253的verilog源代码,符合标准的amba 2.0的总线规范-A apb bus control 8253 of the verilog source code, amba 2.0 standard bus specification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:107924
    • 提供者:蔡搏
  1. AMBA-AHB-APB-BUS

    1下载:
  2. 常见ARM架构的AMBA、AHB、APB总线的介绍,对ARM的总线有个清晰的了解,对各模块的关系也可深入了解-Common ARM architecture AMBA, AHB, APB bus introduction of ARM' s have a clear understanding of the bus, on the relationship between the modules can also be in-depth understanding of
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-23
    • 文件大小:49825
    • 提供者:sp
  1. amba

    0下载:
  2. doc file on AMBA...advanced microcontroller bus architecture ...basic og amba ahb, asb, apb
  3. 所属分类:Document

    • 发布日期:2017-03-27
    • 文件大小:290281
    • 提供者:ashish
  1. apb_bridge

    0下载:
  2. arm ambm 2.0 primecell算法 ahb 与 apb通讯的转换模块-arm ambm 2.0 primecell algorithm ahb conversion and communications module apb
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-24
    • 文件大小:1849
    • 提供者:sunk
  1. AMBA_V2.0_CN

    0下载:
  2. ARM公司高级微控制器总线体系(Advanced Microcontroller Bus Architecture AMBA )规范中文版,包括ASB,AHB,APB总线-Senior ARM microcontroller bus system (Advanced Microcontroller Bus Architecture AMBA) specification, including the ASB, AHB, APB bus
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-09
    • 文件大小:1076982
    • 提供者:陶戈丹
  1. sc_apbSlave

    0下载:
  2. systemc写的apb slave程序,用于实现apb总线上的slave-systemc write apb slave procedure used to implement apb bus slave
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:1273
    • 提供者:Hanshan
  1. RTC

    5下载:
  2. verilog编写的RTC(实时时钟)包含APB总线接口、时钟计时部分等-verilog prepared by the RTC (real time clock) contains APB bus interface, clock time some other
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:12429
    • 提供者:郭晓进
  1. AMBA

    1下载:
  2. 详细讲述了ARM总线体系,包括AHB,ASB,APB。-Detailed account of the ARM bus system, including AHB, ASB, APB.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:888061
    • 提供者:John
  1. I2C

    4下载:
  2. iic总线挂接在amba的apb总线上,标准接口,verilog代码的实现-iic bus attached to the amba' s apb bus, standard interfaces, verilog code implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-19
    • 文件大小:463796
    • 提供者:蔡搏
  1. AHP_APB

    0下载:
  2. ARM公司提出的总线协议AHB和APB的中文资料,可作为参考资料-ARM s proposed AHB and APB bus protocol of the Chinese data, can be used as reference
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:424758
    • 提供者:李菲
  1. AMBA-APB-NandFlash-bus

    0下载:
  2. 基于AMBA APB总线NandFlash控制器的设计-Based on the AMBA APB NandFlash bus controller design
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-28
    • 文件大小:442429
    • 提供者:zou
  1. code

    2下载:
  2. 用system verilog 描述的APB总线验证源码,可以用于学习system verilog的使用。-System Verilog descr iption APB bus test source code, can be used to study the use of system Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:18713
    • 提供者:李超
  1. apbctrl

    0下载:
  2. amba2.0标准,apb总线控制器的实现,来自leon3开源代码-amba2.0 standard the implementation of apb bus controller, from leon3 open source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4258
    • 提供者:张鹏
  1. Ahb2Apb

    0下载:
  2. AHB总线协议转APB总线协议的接口IP,使用Verilog代码实现,有详细的英文注释(AHB bus protocol turn APB bus interface IP, use Verilog code implementation, and have a detailed knowledge of the English comments)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:5120
    • 提供者:local_boy
  1. apb.v

    0下载:
  2. AMBA总线apb总线的verilog代码以及相关的中断控制。(AMBA bus apb bus verilog code and associated interrupt control.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. apb_uart_sv-pulpinov1

    0下载:
  2. SystemVerilog 写的APB总线接口的uart 代码,带testbench.(Uart code of APB bus interface written by SystemVerilog, with testbench.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:16384
    • 提供者:容止
  1. apb_uart

    3下载:
  2. 这里是apb总线设计代码。这个源程序是基于verilog语言设计的(Here is the APB bus design code. This source program is designed based on Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-06
    • 文件大小:2048
    • 提供者:megmand
  1. apb_timer.tar

    1下载:
  2. 是基于apb总线下的timer外设的rtl代码,主要包括apb_timer的master逻辑verilog,以及相应的开发文档,包括寄存器的描述,功能特性等。(RTL code is based on timer peripheral under APB bus, which mainly includes master logic Verilog of apb_timer and corresponding development documents, including the descr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-26
    • 文件大小:67584
    • 提供者:megmand
  1. APB_timer

    3下载:
  2. 设计一个挂载在 APB 总线上的计数器,按照 APB 的时序给计数器赋值,主 机通过地址对计数器进行配置,通过数据输入端口给计数器设置计数器最大值, 并通过数据输出端口输出计数器的计数值。该设计还设置了一个计数完成信号, 当计数器满足模式配置后的计数要求时,会将该信号拉高(A counter mounted on the APB bus is designed. The counter is assigned according to the sequence of APB The compu
  3. 所属分类:其他

    • 发布日期:2021-04-26
    • 文件大小:312320
    • 提供者:littbi
« 12 »
搜珍网 www.dssz.com