CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - APB interface

搜索资源列表

  1. APB

    0下载:
  2. It s the verilog source code for AMBA APB 2.0 Slave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4387
    • 提供者:nachi
  1. RTC

    5下载:
  2. verilog编写的RTC(实时时钟)包含APB总线接口、时钟计时部分等-verilog prepared by the RTC (real time clock) contains APB bus interface, clock time some other
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:12429
    • 提供者:郭晓进
  1. eth

    0下载:
  2. 一个ahb接口的千兆以太网MAC,包括apb的配置接口-Ahb a Gigabit Ethernet interface MAC, including the configuration interface apb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:32064
    • 提供者:daisy
  1. AHBtoAPB

    0下载:
  2. AHBtoAPB设计基于AMBA总线协议的APB Bridge设计-AHB to APB designThe AHB to APB bridge interface is an AHB slave. When accessed (in normal operation or system test) it initiates an access to the APB.
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:114185
    • 提供者:李雷
  1. shruthi-proj

    0下载:
  2. The APB is part of the AMBA 3 protocol family. It provides a low-cost interface that is optimized for minimal power consumption and reduced interface complexity. The APB interfaces to any peripherals that are low-bandwidth and do not require the high
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:251017
    • 提供者:psn026
  1. lab1

    0下载:
  2. apb transactions with DUT, testbench including interface test cases , top
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:932
    • 提供者:manzy
  1. SOC

    0下载:
  2. SOC AMBA 总线接口代码,适合了解AHB APB协议-SOC AMBA bus interface code for understanding AHB APB protocol
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12774164
    • 提供者:舒洲
  1. interrupt_controller

    0下载:
  2. 中断控制器电路verilog实现源代码,silicon验证的.-interrupt controller IP source code, APB interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:50423
    • 提供者:moses lee
  1. apbtoaes128_latest.tar

    2下载:
  2. AES加密算法verilog代码实现,基于APB总线接口数字IP,包含详细的testbench-AES encryption algorithm verilog code, based on the APB bus interface digital IP, contains a detailed testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:199340
    • 提供者:lv
  1. apbi2c_latest.tar

    1下载:
  2. APB总线协议转I2C总线协议的接口IP,verilog代码实现,包含详细testbench-APB bus interface to I2C bus interface IP,verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:444852
    • 提供者:lv
  1. apb_spi

    2下载:
  2. Simple SPI interface realization on Verilog HDL with parameterized FIFO and APB interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:11351
    • 提供者:scnn86
  1. timer

    0下载:
  2. Simple 32-bit timer realization with APB interface with support of interrupt generation and switching clock source.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:3072
    • 提供者:scnn86
  1. Ahb2Apb

    0下载:
  2. AHB总线协议转APB总线协议的接口IP,使用Verilog代码实现,有详细的英文注释(AHB bus protocol turn APB bus interface IP, use Verilog code implementation, and have a detailed knowledge of the English comments)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:5120
    • 提供者:local_boy
  1. apb_uart

    2下载:
  2. 带apb接口的uart,带testbench,测试过,可以使用(The uart module with apb interface)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:3072
    • 提供者:songchao
  1. apb_uart_sv-pulpinov1

    0下载:
  2. SystemVerilog 写的APB总线接口的uart 代码,带testbench.(Uart code of APB bus interface written by SystemVerilog, with testbench.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:16384
    • 提供者:容止
  1. 24_Timer

    4下载:
  2. 使用Verilog编写的24位定时器,具有apb 总线接口,可以设置工作方式和计数初值。(The 24-bit timer written by Verilog has APB bus interface, which can set working mode and count initial value.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-26
    • 文件大小:1024
    • 提供者:libus
  1. AXI&APB2SPI

    3下载:
  2. APB总线转SPI接口模块SV代码以及AXI总线转SPI接口模块SV代码(SV code of APB bus to SPI interface module and SV code of Axi bus to SPI interface module)
  3. 所属分类:其他

    • 发布日期:2020-08-08
    • 文件大小:25600
    • 提供者:leo_xu
  1. apbi2c-master

    7下载:
  2. apb转i2c verilog 实现(APB bus interface to I2C bus interface)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-01-15
    • 文件大小:445440
    • 提供者:AyanamiC
搜珍网 www.dssz.com