CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CLA ADDER

搜索资源列表

  1. adder_ahead8bit

    1下载:
  2. 本文件提供了用verilog HDL语言实现的8位超前进位加法器,充分说明了超前进位加法器和普通加法器之间的区别.-using verilog HDL achieve the eight-ahead adder, fully demonstrates the CLA for ordinary Adder and the distinction between.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10307
    • 提供者:剑指眉梢
  1. 16bit-CLA

    0下载:
  2. 16 bit carry look ahead adder verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8036
    • 提供者:praveen
  1. adder

    0下载:
  2. 运用VHDL语言实现四位超前进位加法器。-VHDL language using the four CLA.
  3. 所属分类:MPI

    • 发布日期:2017-03-27
    • 文件大小:4327
    • 提供者:吴伟
  1. 16bitCLA

    0下载:
  2. 基于Verilog HDL的16位超前进位加法器 分为3个功能子模块-Verilog HDL-based 16-bit CLA is divided into three functional sub-modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7489
    • 提供者:韩伟
  1. 128bitCLA

    0下载:
  2. 128位CLA 采用kogge-stone tree算法 经modlesim验证正确-128-bit CLA using kogge-stone tree algorithm as the right to verify modlesim
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-12
    • 文件大小:546
    • 提供者:韩伟
  1. adder17

    0下载:
  2. 实现17位加法,利用一个16位超前进位加法器和一个一位全加器构成的一个有进位输入和进位输出的17加法器,并且16位加法器利用的使四位超前进位加法器构成。它在booth乘法器设计中经常用到。可以使初学者对模块的调用了解更加透彻。-Adder 17 to achieve the use of a 16-bit CLA, and a one-bit full adder composed of a binary input and binary output of the adder 17, and
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1580
    • 提供者:htpq
  1. CLA.VHDL.CODE

    0下载:
  2. cla vhdl code with a picture files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:340016
    • 提供者:YD
  1. ADDER(2)

    0下载:
  2. simple 16-bet CLA adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1936
    • 提供者:calvin
  1. adder

    0下载:
  2. 8位cla,采用for结构,可以扩张成32位或者16位-8 cla, used for the structure, you can expand into a 32-bit or 16-bit
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:36772
    • 提供者:sigma
  1. cla

    0下载:
  2. Carry Look ahead adder
  3. 所属分类:Software Testing

    • 发布日期:2017-03-28
    • 文件大小:1802
    • 提供者:Senthil Kumar
  1. 16bit-CLA

    0下载:
  2. a 16 bit carry look ahead adder verilog code
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:7799
    • 提供者:praveen
  1. CLA

    0下载:
  2. carry look ahead adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:31591
    • 提供者:nikost87
  1. adder-VerilogHDL

    0下载:
  2. 各种加法器的VerilogHDL语言编写的包括普通加法器,串行进位加法器,超前进位加法器等-Adder VerilogHDL various languages, including ordinary adder, serial carry adder, CLA, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2670
    • 提供者:王体奎
  1. cla-adder

    0下载:
  2. cla adder code in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:8556
    • 提供者:nirjhar
  1. 32-bit-cla-adder

    0下载:
  2. This a code that describe 32 bit carry look ahead adder in VHDL(32 bit CLA).-This is a code that describe 32 bit carry look ahead adder in VHDL(32 bit CLA).
  3. 所属分类:assembly language

    • 发布日期:2017-11-09
    • 文件大小:767
    • 提供者:hskim
  1. CLA

    0下载:
  2. CLA adder:use vhdl to write the carry-lookahead adder which is a type of adder used in digital logic-CLA adder
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:741
    • 提供者:awen
  1. 8bit-CLA

    0下载:
  2. 8bit carry look ahead adder for software testing
  3. 所属分类:Software Testing

    • 发布日期:2017-04-24
    • 文件大小:10360
    • 提供者:sat
  1. fast-Cla

    0下载:
  2. fast Carry look ahead adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1252
    • 提供者:amirul
  1. cla

    0下载:
  2. CLA A dder Generator CLA A dde r Gen erator CLA Adder Gene ra t or CLA Adder Gen er ator
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3936
    • 提供者:Sreeraj R
  1. CLA代码

    0下载:
  2. 计数器跳跃进位加法器CLA代码,加法器计数器(adder with four 8-bit groups. 8-bit adder will have two 4-bit groups.)
  3. 所属分类:书籍源码

« 12 »
搜珍网 www.dssz.com