CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - HDB3 decoder

搜索资源列表

  1. hdb3 decoder

    0下载:
  2. 我上期做的VHDL设计方案,用于在FPGA或CPLD中实现HDB3的编码-I do view on the VHDL design options for the CPLD or FPGA to achieve HDB3 code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:119678
    • 提供者:王薇
  1. HDB3

    0下载:
  2. 用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试 -Using verilog HDL language, through a 4-bit shift register realization of a signal into HDB3 code and test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:940
    • 提供者:
  1. HDB3Decoder

    0下载:
  2. 这是一个HDB3的译码器,实现从HDB3双极性码到高低电平二值序列的转化-This is a decoder of the HDB3, HDB3 bipolar from high-low-level code to the conversion of binary sequences
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:569
    • 提供者:石云
  1. HDB3

    1下载:
  2. 基于FPGA的HDB3编码器和译码器的实现源代码-the decoder and encoder based on FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-05
    • 文件大小:260243
    • 提供者:ganzhhua
  1. Decoder

    0下载:
  2. 这是一个HDB3的译码器,实现从HDB3双极性码到高低电平二值序列的转化-This is a decoder of the HDB3, HDB3 bipolar from high-low-level code to the conversion of binary sequences
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:681
    • 提供者:石云
  1. recover

    0下载:
  2. VHDL设计的HDB3的译码器,采用了四位移位寄存器来判断之前码元1/0,造成输出有5位时延。-VHDL design of HDB3 decoder, using four yards before the shift register to determine the yuan 1/0, resulting in output has five delay.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:132126
    • 提供者:wxc
  1. HDB3

    0下载:
  2. HDB3 encoder and decoder-HDB3 decoer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:316203
    • 提供者:jkl
  1. HDB3

    0下载:
  2. HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:266187
    • 提供者:一天
  1. hdbn_latest.tar

    0下载:
  2. This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:200054
    • 提供者:chaitanya
  1. hdb3_codedecode

    1下载:
  2. 用VERILOG实现的,hdb3编码器和解码器,经过前仿真和后仿真成功-Achieved with the VERILOG, hdb3 encoder and decoder, after a successful pre-simulation and post simulation
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-05
    • 文件大小:434978
    • 提供者:Along
  1. rehdb3

    0下载:
  2. 这是一个HDB3译码的matlab程序,可进行信源译码,可以做为一个子程序-This is a HDB3 decoder matlab program source decoder can be used as a subroutine
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:529
    • 提供者: 张冲
  1. HDB3-Decoding

    0下载:
  2. hdb3解码程序,输入时01代表+1,10代表-1,程序经仿真通过。-hdb3 decoder, input 01 representative of the representative+1,10-1, the program adopted by the simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:553
    • 提供者:李志强
  1. HBD3

    0下载:
  2. 实现编译码器的完整呈现,会很有帮助,谢谢大家-entact descr iption about HDB3 DECODER OR ENCODER,very simple and entact
  3. 所属分类:Compiler program

    • 发布日期:2017-04-03
    • 文件大小:7738
    • 提供者:ww
  1. HDB3

    0下载:
  2. 基于FPGA的HDB3码的译码器代码,主要用于译码器-HDB3 yards on FPGA decoder code, mainly for the decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:687
    • 提供者:cenmingcan
  1. HDB3

    0下载:
  2. 关于HDB3译码器的一些编程,希望给有需要的同学一些帮助-HDB3 decoder on some of the programming, want to give those students in need of some help
  3. 所属分类:Audio program

    • 发布日期:2017-03-30
    • 文件大小:564
    • 提供者:吴明华
  1. hdbn_latest.tar

    0下载:
  2. This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:198605
    • 提供者:Viktor
  1. HDB3-encoderauncoder

    0下载:
  2. HDB3编码器与解码器,以及RTL图,使用Verilog HDL实现-HDB3 encoder and decoder, and RTL diagram, use Verilog HDL to implement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:183888
    • 提供者:zhouyu
  1. decoder

    0下载:
  2. 采用VHDL语言输入法,根据HDB3码编解码规则,确定HDB3码编画出HDB3码的程序设计流程图。编写VHDL源程序、调试及仿真时序波形 -Using VHDL language input method, according to the HDB3 encoding and decoding rules that determine HDB3 code HDB3 encoding and draw a flow chart programming. Write VHDL source co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:314260
    • 提供者:黄慧敏
  1. hdbn

    0下载:
  2. This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to ITU-T G.703. Note: HDB2 and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:8840
    • 提供者:fronders
  1. HDB3

    0下载:
  2. 按照要求对“数字基带信号HDB3译码器设计与建模”进行逻辑分析,了解HDB3译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析。(In accordance with the requirements of the logical analysis of the design and modeling of the digital baseband signal HDB3 decoder, H
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:8990720
    • 提供者:Remrinrin
搜珍网 www.dssz.com