CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - MUX四选一

搜索资源列表

  1. mux4_1.数字系统设计的编程

    0下载:
  2. 数字系统设计的编程,实现四选一的多路选择器,用verilog实现。,The design of digital systems programming, to achieve the election of the four MUX, with the realization of verilog.
  3. 所属分类:Windows编程

    • 发布日期:2017-03-23
    • 文件大小:50676
    • 提供者:kahn
  1. mux

    0下载:
  2. 用case描述的 四选一 数据选择器短小精湛初学者必看-With the case described in four short selection of a data selector superb must-see for beginners
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:313233
    • 提供者:张江
  1. verilogfile

    1下载:
  2. 四选一MUX 电路。作为寄存器或者其他电路的输入选择控制。也是ASIC 设计中的基本门电路之一。-4-1 MUX, used as register or input controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2931
    • 提供者:James
  1. mux41

    0下载:
  2. 四选一数据选择器(四个输入选择一个输出)(Four select a data selector)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:300032
    • 提供者:木七渊
  1. mux四选一

    0下载:
  2. mux四选一及译码器:MUX电路在数字集成电路被广泛使用,作为寄存器或者其他电路的输入选择控制。也是ASIC设计中的基本门电路之一。(MUX four selection one and decoder)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:2048
    • 提供者:W*ei
搜珍网 www.dssz.com