CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - UART RX vhdl

搜索资源列表

  1. test_uart

    0下载:
  2. uart VHDL code : include tx,rx,parity bit control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:13077
    • 提供者:byungchan
  1. uart

    0下载:
  2. uart - veiloghdl rx, tx, baudrate-uart- veiloghdl rx, tx, baudrate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4853
    • 提供者:xinha
  1. URAT-VHDL

    0下载:
  2. vhdl版本的uart收发程序,方便实用-uart vhdl rx/tx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:33266
    • 提供者:gone
  1. OTU_RXBLK

    0下载:
  2. cctv otu rx block source
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1024
    • 提供者:seckim1
  1. uart_receiver

    0下载:
  2. Uart receiver VHDL code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:296960
    • 提供者:spiegel
搜珍网 www.dssz.com