CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL FSK

搜索资源列表

  1. FSK

    1下载:
  2. 频移键控FSK的Verilog实现,带测试文件,并在FPGA开发板上成功验证-Frequency Shift Keying FSK the Verilog implementation, with the test file, and successfully verified in FPGA development board
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:42469
    • 提供者:wang
  1. FSK

    0下载:
  2. 通信系统的FSK调制程序,比较实用,包括完整的工程-FSK modulation communication system procedures, more practical, including the complete works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:332508
    • 提供者:wanyou2345
  1. elecfans.com-74783742

    1下载:
  2. FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1279053
    • 提供者:钟莉
  1. FSK

    0下载:
  2. 用matlab7.0软件对通信信号进行调制数字通信系统通信系统调制解调(PL_FSK)VHDL建模,包括发送和接受模块PL_FSK-good
  3. 所属分类:source in ebook

    • 发布日期:2017-04-04
    • 文件大小:187855
    • 提供者:胖子
  1. fskpsk

    0下载:
  2. FSK和PSK的ewb实现,很好很强大的调制系统。-Ewb of FSK and PSK to achieve good modulation system is very powerful.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-23
    • 文件大小:7606577
    • 提供者:宋庆
  1. fsk

    0下载:
  2. 关于FSK调制的FPGA实现,有VHDL源码-FSK modulation on the FPGA, a VHDL source code
  3. 所属分类:Network Security

    • 发布日期:2017-03-24
    • 文件大小:141162
    • 提供者:123
  1. FSK

    0下载:
  2. FSK VHDL FSK调制与解调VHDL程序及仿真-FSK VHDL
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:681
    • 提供者:luowei
  1. fsk

    0下载:
  2. 用VHDL硬件语言编写FSK调制解调系统,经仿真通过-VHDL hardware language using FSK modulation and demodulation system, the simulation through the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:74217
    • 提供者:王岩嵩
  1. fsk

    0下载:
  2. FSK调制与解调的vhdl源代码,是word文档打开-FSK modulation and demodulation of VHDL source code and simulation of the guide is the word document open.
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:6198
    • 提供者:longvs
  1. FSK

    0下载:
  2. 基于FPGA的FSK的调制解调程序 VHDL-FPGA-based FSK modulation and demodulation process of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4263
    • 提供者:张海龙
  1. fsk

    0下载:
  2. vhdl语言实现信号的fsk调制和解调。用 Quartus软件仿真-vhdl language signals fsk modulation and demodulation. Software simulation using Quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4893
    • 提供者:wlp
  1. fsk_modem_design

    0下载:
  2. fsk调制解调器,仿真并FPGA下载测试正确,供大家交流!-fsk modem, simulation and FPGA download the test correctly for all to share!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6285
    • 提供者:wangsy1201
  1. 2-fsk

    1下载:
  2. 2-fsk调制解调的fpga实现。two-fsk为调制程序,fsk-two为解调程序。-2-fsk modulation and demodulation of fpga implementation. two-fsk for the modulation process, fsk-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1261
    • 提供者:张维
  1. FPGA_verilog

    0下载:
  2. FPGA很有价值的27实例:如ASK、PSK、FSK调制与解调VHDL程序及仿真等-FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1278780
    • 提供者:
  1. FSK

    0下载:
  2. FSK调制与解调,用VHDL语言实现,在QUARTUS软件运行-FSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:52971
    • 提供者:岁月
  1. FPGA

    0下载:
  2. 基于FPGA的通信系统调制解调,包括理论知识介绍和VHDL程序。包含2ASK ,2FSK,2PSK -FPGA-based modem communication systems, including the introduction of theoretical knowledge and the VHDL program. Contains 2ASK, 2FSK, 2PSK
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:606869
    • 提供者:songlina
  1. vhdl

    0下载:
  2. 基于FPGA的FSK 的实现!fsk的调制解调,相干与非相干解调!-Implementation of FPGA-based FSK! fsk modulation and demodulation, coherent and non-coherent demodulation!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1259
    • 提供者:lili
  1. DDS(fsk-ask-psk)

    0下载:
  2. 基于VHDL的波形调制,其中包括调频、调幅,调脉宽等-VHDL-based waveform modulation, including FM, AM, pulse width modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6747337
    • 提供者:王展
  1. VHDL2FSK

    1下载:
  2. VHDL 2FSK调制解调器各部分的原理与代码(The principle and code of each part of the VHDL 2FSK modem)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 8.10 FSK调制与解调VHDL程序及仿真

    0下载:
  2. FSK调制与解调VHDL程序及仿真,功能:基于VHDL硬件描述语言,对基带信号进行FSK调制(FSK modulation and demodulation VHDL program and simulation, function: Based on the VHDL hardware descr iption language, the baseband signal is modulated by FSK)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-30
    • 文件大小:51200
    • 提供者:少年高飞
« 12 3 4 5 6 »
搜珍网 www.dssz.com