CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock recovery

搜索资源列表

  1. fdpll

    1下载:
  2. 简单的可配置dpll的VHDL代码。 用于时钟恢复后的相位抖动的滤波有很好的效果, 而且可以参数化配置pll的级数。-simple configurable dpll VHDL code. Clock Recovery for the jitter filtering is a very good result, but can pll configuration parameters of the series.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2037
    • 提供者:陈德炜
  1. 5B6B

    0下载:
  2. FPGA的5B6B编译码器的设计代码可以编译而且有波形图 -5B6B code is used in fiber optic digital communication systems a more extensive line pattern! Data are 5B6B encoding and conversion, and string after the fiber transmission, serial code sequences in continuous bit 0 or b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:617915
    • 提供者:邓小虎
  1. Design_of_a_6.25_Gbps_Backplane_SerDes_with_TOP-do

    2下载:
  2. SerDes自顶向下的设计方法流程,包括接收机、发射机、均衡技术、时钟恢复技术-SerDes top-down design methodology process, including receivers, transmitters, equalization, clock recovery techniques
  3. 所属分类:Communication

    • 发布日期:2017-03-27
    • 文件大小:592994
    • 提供者:周明珠
  1. shift

    1下载:
  2. E1接收部分主要功能是实现从输入的差分线路数据中恢复出2.048M线路时钟并将数据解码输出。包括解码和线路时钟恢复两模块。-E1 to receive some of the major functions of the difference from the input data lines to recover a clock and data lines 2.048M decoder output. Including decoding and clock recovery circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:88731
    • 提供者:liusen
  1. 100Mbsyitaiwangshizhongshujuhuifudianlu

    0下载:
  2. 100以太网的时钟恢复电路,是涉及以太网的好资料,欢迎下载交流。-100 Ethernet clock recovery circuit, is related to Ethernet' s good information, please download the exchange.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:202070
    • 提供者:柳莺
  1. 5b6b

    0下载:
  2. 5B6B码是光纤数字通信系统中使用比较广泛的一种线路码型! 数据经过5B6B编码和并串转换后在光纤上传输,串行码序列中连续的比特0或比特1的长度不超过5,数据在0和1之间变换的密度很高,并具有直流平衡的特性,有利于接收电路和时钟恢复电路的设计。-5B6B code is used in fiber optic digital communication systems a more extensive line pattern! Data are 5B6B encoding and conver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3162
    • 提供者:王彬
  1. APL99

    0下载:
  2.  An All-Digital Phase-Locked Loop (ADPLL)-Based Clock Recovery
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:393900
    • 提供者:malijun
  1. A_method_based_on_Leo_satellite_communications_tim

    1下载:
  2. 摘 要:定时恢复是数字接收机中的关键技术,基于某特定LEO低轨卫星通信系统应用,重点研究了异步时钟采 样恢复法的工作原理,提出了一种改进的Gardner定时误差检测算法,给出了整个定时环路的具体实现方案,并针对其 性能进行了分析。仿真结果表明,在大多普勒加速度的卫星信道环境下,该方案能够满足系统设计的要求,且实现结 构简单、优化,可大幅降低算法复杂度,在较高信噪比的情况下,具有更加优化的性能。 -Abstract: The timing recovery is a key tec
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:408044
    • 提供者:longx
  1. Jitter-and-clock-recovery-for-periodic-traffic-in

    0下载:
  2. Jitter and clock recovery for periodic traffic in broadband packet networks
  3. 所属分类:Communication

    • 发布日期:2017-03-23
    • 文件大小:858068
    • 提供者:harsh
  1. tdm_over_IP

    0下载:
  2. white paper on Jitter and clock recovery for periodic traffic in broadband packet networks
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:172879
    • 提供者:harsh
  1. pll_clock

    0下载:
  2. 自己写的时钟提取逻辑。用于时钟恢复电路。-Write your own clock extraction logic. For the clock recovery circuit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2229
    • 提供者:MML
  1. OFDM_retiming

    0下载:
  2. 基于Verilog的OFDM时钟恢复模块,在做全数字OFDM的时候是关键模块,可以在FPGA上实现。-Verilog-OFDM-based clock recovery module, doing all-digital OFDM time is the key module can be implemented on the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:173765
    • 提供者:ye
  1. sfdppllli

    0下载:
  2. 简单易懂的可配置dpll的VHDL代码。用于时钟恢复后的相位抖动的的滤波有非常好的效果, 而且能参数化配置pll的级数。 已通过测试。 -Straightforward configuration VHDL code dpll. Very good results for the clock recovery phase jitter filtering, and can be parameterized configuration pll series. Has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1831
    • 提供者:房产
  1. LMH0346

    0下载:
  2. 国家半导体的时钟恢复芯片LHM0346,双差分-National Semiconductor Clock Recovery Chip LHM0346
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:279384
    • 提供者:guilinmao
  1. clcRec

    0下载:
  2. This a clock recovery matlab code. This file is using a 4-PAM signal shape and the recovery method is DD recovery method. This file is a mfile. -This is a clock recovery matlab code. This file is using a 4-PAM signal shape and the recovery method i
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:3105
    • 提供者:sara
  1. clcRecoveryDDmethod

    0下载:
  2. this file is implementing CLOCK recovery using DD method in matlab. This is a mfile. The signal shape is 4PAM
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:3196
    • 提供者:sara
  1. 00231921

    0下载:
  2. digital implement Part II the Gardner method for clock recovery and synchronization
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:931656
    • 提供者:hamed
  1. Digital-Clock-Recovery-Algorithm-for-Optical-Cohe

    0下载:
  2. We propose a digital clock recovery algorithm and demonstrate its tolerance to at least 5GHz laser frequency mismatch in a 43Gb/s DP-RZ-QPSK receiver after 1200km transmission.- We propose a digital clock recovery algorithm and demonstrat
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:572960
    • 提供者:hamed
  1. four-channals-3.125G-SERDES

    0下载:
  2. 介绍SERDES接口中频率合成器和时钟恢复电路的设计-The first key issue is frequency synthesizer and clock recovery circuit design.
  3. 所属分类:Development Research

    • 发布日期:2017-05-11
    • 文件大小:2115632
    • 提供者:梧桐雨
  1. cdr

    3下载:
  2. 数据时钟恢复,采样8倍率高频时钟进行数据时钟恢复。已通过Modelsim仿真-Data and clock recovery, sampling 8 times the rate of high frequency clock for clock and data recovery. Have been through the Modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1024
    • 提供者:王明明
« 12 3 »
搜珍网 www.dssz.com