CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dec3_8

搜索资源列表

  1. dec3_8

    0下载:
  2. 有VHDL写的一个38译码器,并付仿真波形.-VHDL has written a decoder 38, and pay the simulation waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:57754
    • 提供者:陈阿水
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:554
    • 提供者:镜辰
搜珍网 www.dssz.com