CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led8

搜索资源列表

  1. led8

    0下载:
  2. mega8L上点亮LED的小程序,注释清楚,适合初学者-mega8L on a small LED lights procedures Notes clear, for beginners
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1128
    • 提供者:Brufield
  1. LEDtraffic

    0下载:
  2. 实现了在S3C44B0开发版上的LED8位数码管的交通灯模拟。-S3C44B0 realization of the development version of LED8 spaces of the digital simulation of the traffic lights.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:19854
    • 提供者:郭靖
  1. LEDDISP

    0下载:
  2. 通过观察EASYARM2200教学实验平台上的LED1-LED8的显示判断74HC595数据移位是否正确
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1240
    • 提供者:sbhh
  1. led-3s

    0下载:
  2. MCD1实战一,LED8位流水灯《霹雳灯》 该实战的目的作为学习和应用MCD1在线调试工具套件,进行项目的软件和硬件 联合调试的范例程序,也就是当做一个用户程序实例,而演示板暂时充当用户电 路的角色。这样就构成了一个软件、硬件齐全的自制项目模拟环境。 本程序实现的功能是,把端口RC的8条引脚全部设置为输出模式,依次从引脚RC0 到RC7送出高电平,然后再依次从引脚RC7到RC0送出高电平,并且周而复始,从而 使得与该端口C相连的8只发光二极管LED循环依次点
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2937
    • 提供者:philip
  1. Led

    0下载:
  2. 此程序能实现每隔一秒钟点亮一个LED,当点亮全部LED后,开始熄灭LED8,直到全部LED都熄灭
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:748
    • 提供者:朱起敏
  1. main

    0下载:
  2. 串口通信使用串口UART0接收上位机发送的数据,当接收到4个连续数据后,将接收计数值加一后输 * 出LED1--LED8显示,并将数据原封不动地发送回上位机。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:2031
    • 提供者:HBZ
  1. DAN

    0下载:
  2. 手动扩展外部中断INT0、INT1,当INT0 产生中断时,使LED8 亮、灭闪烁4 次;当INT1 产生中断时,使LED 由右向左轮流显示,一次亮两个,循环 4 次。先按 KK1-,观察实验现象,然后按 KK2-,观察实验现象。 硬件连线:P1口接发光二极管D0~D7,INT0~KK1,INT1~KK2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:19220
    • 提供者:liufeng
  1. display

    0下载:
  2. led8*8拼接成16*16显示3个字 另附有字模提取软件
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:37682
    • 提供者:周涛
  1. embest_s3cev40_8LED_Test

    0下载:
  2. 英蓓特s3cev40下的led8位数码管驱动程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4439
    • 提供者:赵磊
  1. STM32_EXIT_irDA

    0下载:
  2. 基于STM32红外遥控器解码程序。使用东芝系列TC9012集成芯片遥控器解码,控制板子上的LED1~LED8亮灭实现。使用到的STM32资源有外部中断 嘀嗒定时器等.-STM32-based infrared remote control decoder program. Toshiba TC9012 Series integrated chip using the remote control decoder to control the board on the LED1 ~ LED8 li
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2016-12-23
    • 文件大小:448516
    • 提供者:zhangdong
  1. led-dianzheng

    0下载:
  2. 这是个51单片机驱动led8*8点阵的程序,跟大家分享下-This is the 51 single-chip driver led8* 8 dot matrix program, to share with you the next
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-27
    • 文件大小:13843
    • 提供者:黄烽
  1. P1ExE

    0下载:
  2. P1口扩展实验 P1口既做输入口又做输出口,KEY1做为左转弯开关,KEY2做为右转弯开关。LED5、LED6做为左转弯灯,LED7、LED8做为右转弯灯(如图2-2)。 编程实现汽车转向灯功能,即:KEY1接高电平KEY2接低电平时,右转弯灯灭,左转弯灯以一定频率闪烁(注意:KEY拨向上方为0);KEY2接高电平KEY1接低电平时,左转弯灯灭,右转弯灯以一定频率闪烁;KEY1、KEY2同时接低电平时,发光二极管全灭;KEY1、KEY2同时接高电平时,发光二极管全亮。-P1 I extend
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:57786
    • 提供者:mkd
  1. LED8

    0下载:
  2. 控制单片机做发光二极管实验,显示数字1到8-Control of single-chip light-emitting diodes to do experiments, figure 1-8 shows
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:994
    • 提供者:Jondy
  1. LED8

    0下载:
  2. led跑马灯显示,实现一秒钟闪一次。基础性的代码,比较简单。-led display
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:31942
    • 提供者:小米
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. LED8

    0下载:
  2. 本程序用verilogHDL实现八位流水灯,-The achievement of the eight light water
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:173988
    • 提供者:田佳
  1. LED8

    0下载:
  2. 8灯渐变同步渐变P1=L1,L2,L3,L4,L5,L6,L7,L8-8LED
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:4986
    • 提供者:计新春
  1. Led8.2

    0下载:
  2. avr的流水灯实验源码,通过拼接8个IO端口为一个八位的二进制数来控制显示的方式。-the source of avr led8
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:1786
    • 提供者:yym
  1. LED8

    0下载:
  2. EP2C8Q208_Quartus_V8.0 基于FPGA实现LED8 VHDL代码-EP2C8Q208_Quartus_V8.0 achieve LED8 VHDL code based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:389971
    • 提供者:zkzkzk
  1. LED8-8

    0下载:
  2. 显示字符的led8*8点阵LED屏,不太全-Display character led8*8 lattice
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:23180
    • 提供者:王力斯
« 12 3 4 5 »
搜珍网 www.dssz.com