CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:hual*****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. t51

    1下载量:
  2. 用VHDL设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5且小于10时,判别电路输出为1,小于5为0,大于等于10时输出为高阻态。 -VHDL design with a rounded judge circuit, its input 8421BCD code require that when the input is greater than or equal to 5 and less than 10, the discrimination circuit ou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:634
搜珍网 www.dssz.com