CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:h*****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. Example-4-17

    0下载量:
  2. 学习异步复位、同步释放电路建模的方法。异步复位、同步释放的具体设计方法很多,关键是如何保证同步地释放复位信号。本例的设计方法是在复位信号释放时,用系统时钟采样,然后将复位信号送到寄存器的异步复位端。-Learning asynchronous reset, synchronous release of circuit modeling approach. Asynchronous reset, synchronous release of many of the specific design,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:34614
搜珍网 www.dssz.com