CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:黎***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. mc

    0下载量:
  2. 可控脉冲发生器:采用1KHz的工作时钟,初始化周期为2.5s,占空比为50 ,所以周期(T)初始化为2500,占空比(Result)初始化为1250;用按键S1、S2、S3、S4分别实现周期增大、周期减小、占空比增大、占空比减小。-Controllable pulse generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:556
搜珍网 www.dssz.com