CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:陈****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. gwnseq

    1下载量:
  2. verilog产生高斯白噪声,gwn_en信号产生使能,gdata是幅度服从高斯分布,功率谱密度为定值的高斯白噪声序列,共10位(现实中只能够做到带限,跟dac输出带宽有关,我的系统只能做到300kHz)-verilog Gaussian white noise, gwn_en signal enabled, gdata amplitude Gaussian distribution, power spectral density of white Gaussian noise sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-16
    • 文件大小:1024
搜珍网 www.dssz.com