CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:xia****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. pulse_generating

    0下载量:
  2. 采用VHDL语言实现输入一定的数字量,从而输出一定的频率可调的脉冲,可以与单片机接口,实现对特定对象的控制-VHDL language used to achieve a certain degree of digital input, so the frequency of certain adjustable output pulse, with the single-chip interface, the achievement of specific targets for the co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:776
搜珍网 www.dssz.com