CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:wangx******

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. 002-KEY-LED

    0下载量:
  2. KEY按键输入LED发光二极管显示实验。 1、I/O口实验,检测PE口上按键状态,然后在PB口上显示出来。 2、内部1 M晶振,程序采用单任务方式,软件延时。 3、进行此实验请插上LED短路块。 4、此实验让大家认识最基本的IO口输入与输出方法。-KEY key input LED light-emitting diode display experiment. 1, I/O port experiments, testing the key state of PE mo
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:14742
搜珍网 www.dssz.com