CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 其它 汇编语言

资源列表

« 1 2 3 4 56 7 8 9 10 ... 2553 »
  1. Homework-ONE

    0下载:
  2. 猜价格游戏,一共有五次机会,采用递归调用函数实现。-Guess the price of the game, a total of five opportunities to recursively call the function.
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:518
    • 提供者:
  1. zongjiejue1

    0下载:
  2. 此文件为C#课程设计的学生选课系统的模板文件,包含多个平台。-This file as a template file of C# curriculum design student elective system contains more than one platform.
  3. 所属分类:assembly language

    • 发布日期:2017-11-26
    • 文件大小:518
    • 提供者:李沐晨
  1. dzzsfm

    0下载:
  2. 利用汇编语言编写电子钟程序,可以做微机原理的课程设计任务!我做的就是这个!-The use of assembly language program to prepare electronic bell, you can make the curriculum design Microcomputer Principle mission! Me to do is this!
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:519
    • 提供者:陈塘
  1. zuisuxiajiang

    0下载:
  2. 最速下降法。很好的优化程序。需要的自己下来看看啊-Steepest descent method. Optimizer good. Under their own needs来看看啊
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:519
    • 提供者:王散
  1. state_comb

    0下载:
  2. State Machine Implementation in VHDL
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:519
    • 提供者:kinnar
  1. electronicstopwatch

    0下载:
  2. 000.0~999.9秒电子秒表,一个开关控制开始/暂停-000.0 ~ 999.9 seconds of electronic stopwatch, a switch control start/pause
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:519
    • 提供者:youlianmoxue
  1. Adc0809

    0下载:
  2. 不用74ls373的adc0809的51汇编程序-51 assembler without of 74ls373 the adc0809
  3. 所属分类:assembly language

    • 发布日期:2017-11-06
    • 文件大小:519
    • 提供者:GJD
  1. auto

    0下载:
  2. 通过修改注册表做到开机自启动程序,其中jmp指令在加壳之后可以有效的防止杀软的拦截-By modifying the registry to do the boot from the startup procedure, which "jmp"instruction after packers can effectively prevent from anti-vir soft
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:519
    • 提供者:majiaozhu
  1. co

    0下载:
  2. 从键盘输入10个整数,计算其中偶数的和以及偶数平均数,(用小数表示)-Input the keyboard 10 integers, and the calculation of the even and even number, the average (in decimal)
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:519
    • 提供者:山下
  1. REZI

    0下载:
  2. memory resident beeping assembly program
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:520
    • 提供者:arash
  1. ex21

    0下载:
  2. 设计一个三数相加求和的“宏”来计算DAT0 + DAT1+ DAT2的值。-Design a number of the sum of the sum of the "macro" to calculate the value of DAT0+ DAT1+ DAT2.
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:520
    • 提供者:
  1. bubblesort

    0下载:
  2. ascend or decend the array number by using bubble sort method
  3. 所属分类:assembly language

    • 发布日期:2017-12-01
    • 文件大小:520
    • 提供者:chris wong
« 1 2 3 4 56 7 8 9 10 ... 2553 »
搜珍网 www.dssz.com