CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料

资源列表

« 1 2 ... .53 .54 .55 .56 .57 15958.59 .60 .61 .62 .63 ... 16327 »
  1. 基于树莓派的物联网应用

    1下载:
  2. 随着社会经济的发展,互联网也已经接入了千家万户,物联网概念逐渐兴起。文章主要讨论了通过树莓派实现的物联网的应用场景 如家庭、企业和社会组织等,也讨论了物联网发展面临的挑战与可行的解决方案。
  3. 所属分类:行业发展研究

    • 发布日期:2018-07-12
    • 文件大小:380502
    • 提供者:wsper12@163.com
  1. modelsim使用教程

    1下载:
  2. modelsim使用教程 详细介绍了modelsim使用方法,仿真方式,一步步详细介绍。
  3. 所属分类:其它文档

  1. xilinx平台DDR3设计教程之仿真篇_中文版教程

    1下载:
  2. xilinx平台DDR3设计教程之仿真篇_中文版教程。 详细介绍了基于xilinx平台DDR3设计方式,一步步指导。
  3. 所属分类:文档资料

    • 发布日期:2018-07-12
    • 文件大小:17086308
    • 提供者:270044313@qq.com
  1. 网上商城课设

    1下载:
  2. 本次实训的任务是,构建一个电子商务网站网上书店,采用的主要技术是基于服务器端的JSPJava的组件JavaBean,以及网页编程语言HTML、链接数据库JDBC-ODBC。
  3. 所属分类:软件工程

  1. Android面试题 答案精编

    1下载:
  2. Android面试题精心挑选,答案精编!
  3. 所属分类:编程文档

    • 发布日期:2018-07-13
    • 文件大小:2415466
    • 提供者:99111391@qq.com
  1. java基础总结

    1下载:
  2. java基础总结,让新手能够快速入门少走弯路,干货!!!
  3. 所属分类:软件工程

  1. 基于Matlab实现的CT重建算法仿真比较

    1下载:
  2. 几种CT算法的比较,基于Matlab实现的CT重建算法仿真比较
  3. 所属分类:文档资料

    • 发布日期:2018-07-17
    • 文件大小:688640
    • 提供者:Jovon@520
  1. fdc2214中文文档

    4下载:
  2. 此文档是根据FDC2214英文文档翻译而来,有部分删改的地方,但不影响编程。
  3. 所属分类:其它文档

  1. PC104板卡驱动的设计方法

    0下载:
  2. 以ISA_MDLL工程为例,详细说明ISA板卡驱动的设计步骤,以及设计方法,源码
  3. 所属分类:文档资料

  1. VC++编程实例100篇

    1下载:
  2. VC++编程实例100篇,新手入门资料,适合初学者的很好的实例
  3. 所属分类:编程文档

    • 发布日期:2018-07-18
    • 文件大小:2612259
    • 提供者:ga@163.com
  1. CAN通讯基础理论

    1下载:
  2. 很全面的CAN通讯基础理论,介绍了CAN通讯在ECU中的实现。
  3. 所属分类:技术管理

  1. MaxDEA

    0下载:
  2. 该软件可进行基础包络分析操作,简单实用。能够进行效率评价、规模评价等。
  3. 所属分类:文档资料

« 1 2 ... .53 .54 .55 .56 .57 15958.59 .60 .61 .62 .63 ... 16327 »
搜珍网 www.dssz.com