CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载

资源列表

« 1 2 ... .48 .49 .50 .51 .52 195053.54 .55 .56 .57 .58 ... 199235 »
  1. ej1

    0下载:
  2. presentation example of ppt document
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:20480
    • 提供者:jesusmrz
  1. 384654

    0下载:
  2. 仅供学习的易语言征途外挂,多看多学,集百家之长,大家都把资源带出来把()
  3. 所属分类:系统编程

    • 发布日期:2018-05-02
    • 文件大小:259072
    • 提供者:kmfk%26670
  1. 9837651

    0下载:
  2. 亦思验证码识别易语言调用例子 亦思验证码识别易语言调用例子()
  3. 所属分类:易语言编程

    • 发布日期:2018-05-01
    • 文件大小:430080
    • 提供者:uecyalizatinu
  1. 12743787

    0下载:
  2. 从FFMPEG提取出来的一个编码功能,简单封装了一下,易语言调用,实现屏幕录像, 开启了MMX,MMX2,3DNow,3DN()
  3. 所属分类:系统/网络安全

    • 发布日期:2018-05-01
    • 文件大小:360448
    • 提供者:uecyalizatinu
  1. key_test

    0下载:
  2. fpga的按键程序,实现按键和led的对应点亮。(The key program of FPGA realizes the corresponding lighting between keys and led.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1024
    • 提供者:ddq01
  1. TivaC_Code

    0下载:
  2. tivaC_code for CCS TI chip
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:4102144
    • 提供者:tanhaui
  1. VSC_inv_nlinear

    0下载:
  2. 可用于电力系统电压源换流器的小信号分析,参数设计,控制系统分析(It can be used for the design of small signal analysis parameters and control system analysis of power system voltage source converter.)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:2048
    • 提供者:well7758
  1. 开心爆率修改器

    0下载:
  2. 传奇爆率修改工具,支持修改传奇所有爆率一键修改。。(Legend rate modification tools, support all proved a key modification to amend the legend..)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:374784
    • 提供者:老司机11
  1. 蜂鸣器音乐之八月桂花

    0下载:
  2. 蜂鸣器音乐之八月桂花
  3. 所属分类:其他小程序

    • 发布日期:2018-12-01
    • 文件大小:20480
    • 提供者:32156
  1. inspiron-14-7447-laptop_user's guide_zh-cn

    0下载:
  2. inspiron-14-7447-laptop_user's guide_zh-cn
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:4047872
    • 提供者:Bruce_Q
  1. si四位加法器

    0下载:
  2. 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:28672
    • 提供者:小柠
  1. 电热壶程序

    0下载:
  2. 电热水壶源程序6A12,适合初学者学习用,带AD检测(Electric kettle source code 6A12, suitable for beginners to learn, with AD detection.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:15360
    • 提供者:xitaopeng
« 1 2 ... .48 .49 .50 .51 .52 195053.54 .55 .56 .57 .58 ... 199235 »
搜珍网 www.dssz.com