CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载

资源列表

« 1 2 ... .95 .96 .97 .98 .99 184600.01 .02 .03 .04 .05 ... 199235 »
  1. Fuzzy-Lp(Lingo)

    1下载:
  2. 运用Lingo求解模糊线性规划,步骤详细,参考价值大-A Fuzzy LP is solving by Lingo programs which are detailed and valuable references
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:8930
    • 提供者:wangjishun
  1. Two-Channel-Oscilloscope

    1下载:
  2. labview双踪示波器显示VI,适合教学演示分析功能-the labview dual trace oscilloscope to display VI, suitable for the analysis capabilities of teaching demonstration
  3. 所属分类:Other systems

    • 发布日期:2016-12-28
    • 文件大小:49152
    • 提供者:li
  1. NI-DAQ-I2C-Control

    1下载:
  2. NI DAQ I2C Control NI6259
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:15821
    • 提供者:li
  1. 36

    1下载:
  2. 易语言窗口截图源码 ,很不错的易语言源码,适合易语言爱好者学习。-A screenshot of easy language source code, very good source of easy language for easy language enthusiasts to learn.
  3. 所属分类:其他小程序

    • 发布日期:2017-04-01
    • 文件大小:4863
    • 提供者:fly65
  1. Remote

    1下载:
  2. 远程线程注入支持库 (1.0_2版)争取大家的意见,增加了一个命令取进程ID 和修改了注入进程参数为进程ID,修改了上次的例程无效果的情况,本例程WIN7以上无效果-Remote thread injection support library (1.0_2 edition) fight for everyone s views, and adds a command to take the process ID and modifying the injection process param
  3. 所属分类:Other systems

    • 发布日期:2015-04-14
    • 文件大小:129024
    • 提供者:天涯
  1. xinhao

    1下载:
  2. 基于verilog的数字信号产生器,包括三角波、方波、正弦波,频率可调。-Verilog-based digital signal generator, including a triangle wave, square wave, sine wave, frequency adjustable.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:1273
    • 提供者:任晓波
  1. 13

    1下载:
  2. 易语言2D游戏引擎模块源码,很不错的易语言源码,适合易语言爱好者学习。-Easy language 2D game engine module source code, very good source of easy language, suitable for easy language enthusiasts to learn.
  3. 所属分类:其他小程序

    • 发布日期:2017-03-31
    • 文件大小:27302
    • 提供者:Fer01
  1. 23

    1下载:
  2. 易语言传奇寻路源码,很不错的易语言源码,适合易语言爱好者学习。-Easy language legendary pathfinding source, very good source of easy language for easy language enthusiasts to learn.
  3. 所属分类:其他小程序

    • 发布日期:2017-04-01
    • 文件大小:27257
    • 提供者:
  1. 61

    1下载:
  2. 易语言石器时代图片提取源码,很不错的易语言源码,适合易语言爱好者学习。-Extract the source of the Stone Age pictures of the Yi language, very good and easy language source code for easy language enthusiasts to learn.
  3. 所属分类:其他小程序

    • 发布日期:2017-04-08
    • 文件大小:31882
    • 提供者:147270
  1. 11

    1下载:
  2. 模拟unix操作系统中的文件系统功能。用一个文件(disk.txt)模拟一个物理硬盘, 通过对该文件的一系列操作,模拟UNIX文件系统中的文件操作。-Simulation of the unix operating system file system functions. Simulation of a physical hard disk to simulate file operations in the UNIX file system through a series of opera
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:369527
    • 提供者:余升红
  1. static_adderPPPPP

    1下载:
  2. 用Hspice 语言建立一个Kogge_Stone 的树形加法器-use Hspice to design a static adder
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:742904
    • 提供者:张文莲
  1. cg_item

    1下载:
  2. 道具服登录ID修改器,可用来刷新登录ID。-login id modifier
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:126225
    • 提供者:golotv
« 1 2 ... .95 .96 .97 .98 .99 184600.01 .02 .03 .04 .05 ... 199235 »
搜珍网 www.dssz.com