CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载

资源列表

« 1 2 ... .04 .05 .06 .07 .08 199209.10 .11 .12 .13 .14 ... 199237 »
  1. band-pass-filter 线性相位FIR带通滤波器

    22下载:
  2. 用MATLAB函数fir1设计一个具有如下指标的线性相位FIR带通滤波器:阻带截止频率为0.55pi 和0.85pi,通带截止频率为0.65pi和 0.75pi,最大通带衰减为0.2dB,最小阻带衰减为42dB。分别利用下面的窗函数来设计滤波器:汉宁窗、汉明窗、布莱克曼窗。对于每种情况,给出其冲激响应系数并画出设计的滤波器的幅频响应。-Designed with a MATLAB function with the following indicators fir1 linear phase F
  3. 所属分类:matlab例程

    • 发布日期:2015-04-10
    • 文件大小:5120
    • 提供者:董晓曦
  1. Acv 3.36

    22下载:
  2. 用于对劲舞团acv数据的解压和压缩,程序的DLL已提取并脱壳处理,仅供学习和参考使用,Decompression and compression for Duijin dance company acv data, program the DLL has been extracted and shelling processing, learning and reference purposes use
  3. 所属分类:压缩解压

    • 发布日期:2016-11-04
    • 文件大小:116736
    • 提供者:lrw
  1. Johnson Cook_VUMAT

    22下载:
  2. 基于Abaqus的Johnson Cook 材料本构用户子程序,并附带说明文档。适用于各种率相关的材料力学行为仿真。
  3. 所属分类:数学计算/工程计算

    • 发布日期:2015-02-10
    • 文件大小:74977
    • 提供者:yby418
  1. bjzdg

    22下载:
  2. 对cad多段线节点编号并提取坐标程序 To cad polyline node number and extract the coordinates program- To cad polyline node number and extract the coordinates program
  3. 所属分类:CAD

    • 发布日期:2017-04-14
    • 文件大小:2774
    • 提供者:lee
  1. 质子ea

    22下载:
  2. 收益很稳的EA,全自动交易。支持多种货币。年收益1500%(The profitable EA, fully automated trading. Support a variety of currencies. Annual income 1500%)
  3. 所属分类:其他

    • 发布日期:2018-05-06
    • 文件大小:52224
    • 提供者:xiongggg
  1. 马尔可夫链MATLAB程序

    22下载:
  2. 本程序做了一个完成马尔科夫仿真程序的matlab,可用来学习马尔可夫过程的仿真(This procedure has done a complete Markov simulation program MATLAB, can be used to learn the simulation of Markov process)
  3. 所属分类:其他

  1. 纳污能力计算软件

    22下载:
  2. 计算水体纳污能力的excel的vba实现,很方面,很实用,适合水文,环境相关专业计算水体纳污能力。计算水体纳污能力的excel的vba实现,很方面,很实用,适合水文,环境相关专业计算水体纳污能力。(The VBA implementation of Excel for calculating the capacity of water body to absorb pollutants is very practical and suitable for hydrology and enviro
  3. 所属分类:其他

    • 发布日期:2018-11-18
    • 文件大小:118784
    • 提供者:shunshun3842
  1. 随缘幻灵宠爱精灵

    22下载:
  2. 幻灵游侠辅助工具,最经典挂机工具。希望能够帮助玩家。(Magic Ling Ranger auxiliary tool)
  3. 所属分类:其他

    • 发布日期:2018-11-18
    • 文件大小:87040
    • 提供者:李超777
  1. CATIA助手7(PC)

    22下载:
  2. catia辅助软件,大量出图必备,自动生成明细目录等等(CATIA auxiliary software, a large number of drawings necessary, automatically generate detailed directories and so on.)
  3. 所属分类:其他

    • 发布日期:2019-08-02
    • 文件大小:4730880
    • 提供者:小白白123456
  1. MC2017CRACK

    22下载:
  2. 破解mc2017,用于图像制作,编程制图,分析代码。vb三方开发等等。(Crack mc2017, for image production, programming and mapping, analysis code. VB tripartite development and so on.)
  3. 所属分类:其他

    • 发布日期:2019-09-22
    • 文件大小:337920
    • 提供者:c罗杰
  1. ga_microgrid_dayahead

    22下载:
  2. 考虑微网发电成本的经济性,以微型燃气轮机发电成本、 储能运行维护成本和微网与主网之间买、卖电的交互成本为系统总成本,以电力平衡及机组运行的相关约束为模型的约束条件,建立使系统的总成本最低为目标函数的日前经济优化调度模型。 日前经济优化调度一般以 24 小时为调度周期,电网的调度中心根据次日调度周期内的负荷以及可再生能源出力预测数据,提前安排次日每个小时内发电机机组或储能设备等的出力情况以及要从上层电网购买或售出的电量,以达到发电成本最小或收益最大的目的。(Considering the econ
  3. 所属分类:其他

    • 发布日期:2019-05-17
    • 文件大小:2048
    • 提供者:lllj
  1. 各种各种的NPC脚本

    22下载:
  2. 冒险岛079私服 冒险岛JS 冒险岛服务端NPC脚本 JS文件 转生 VIP 满技能等商业脚本(Adventure Island 079 private service Adventure Island JS Adventure Island server NPC scr ipt JS file rebirth VIP full skills and other business scr ipts)
  3. 所属分类:其他

    • 发布日期:2020-02-02
    • 文件大小:182272
    • 提供者:红糖水
« 1 2 ... .04 .05 .06 .07 .08 199209.10 .11 .12 .13 .14 ... 199237 »
搜珍网 www.dssz.com