CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载

资源列表

« 1 2 ... .11 .12 .13 .14 .15 194716.17 .18 .19 .20 .21 ... 199236 »
  1. 4.1

    0下载:
  2. 编写程序,将BLKS为首址的连续N个字节数传送至BLKD为首址的存储区。要求用子程序实现数据的传送,由调用程序根据BLKS、BLKD、两者的位置关系以及数据块的大小为子程序提供入口参数。另外,要求分别采用三种方法实现参数传递,并要求保护和恢复现场。上机调试程序,检查程序正确性-Programming will be headed by BLKS site for N number of bytes sent to the US-led BLKD site storage area. Subrou
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:752
    • 提供者:董文丽
  1. shizhong

    0下载:
  2. 时钟 用数码管显示时,分,秒 按键可以调节时间 使用汇编语言-Clock with digital LED display hours, minutes and seconds buttons can adjust the time in assembly language
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:752
    • 提供者:一道虹
  1. 8253

    0下载:
  2. 用led测试8253的工作情况 当灯亮表明8253工作正常-Led by the work of the 8253 Test when the lights show that 8253 is working correctly
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:752
    • 提供者:snowwords
  1. year

    0下载:
  2. 一、实验名称:判断是否是闰年程序 二、实验目的:1、了解加、减、乘、除算术运算的编程方法; 2、了解变量传送的方式,如何访问存贮单元; 三、实验内容和要求: 用汇编语言编写判断某年是否为闰年,年份从键盘输入,通过运行计算后,输出相应信息。 -1, Experiment name: to determine whether it is a leap year program 2, experimental purposes: one, to understand add, subt
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:752
    • 提供者:wangwang
  1. qiangdaqi

    0下载:
  2. 竞赛抢答器,在ASM环境下开发,在TPC2003装置下实现功能,用的是8255芯片。-Contest Responder, in the ASM environment, development, achieve the TPC2003 device functionality, using a 8255 chip.
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:752
    • 提供者:沈玉芬
  1. LED

    0下载:
  2. bu program c++ ile ilgili ornek bir program bulunmaktadı r.
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:752
    • 提供者:asdf
  1. smg

    0下载:
  2. 用汇编语言编写的一个汇编程序,对初学都应该有所帮助。-An assembler, written in assembly language for beginners should be helpful.
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:752
    • 提供者:张丰艳
  1. wsn

    0下载:
  2. used to calculate energy efficiency in wireless sensor nodes
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-04-11
    • 文件大小:752
    • 提供者:vijayan
  1. Line_Block_code6_3

    0下载:
  2. 利用(6,3)线性分组码实现大数译码的简单仿真程序。-Using linear block codes (6, 3) for the simple simulation program of the decoding of large Numbers.
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:752
    • 提供者:liwan
  1. Alpha_flospwvd

    0下载:
  2. 脉冲噪声下跳频信号的时频分析,低阶SPWVD,抑制噪声并且得到其参数估计。-Impulse noise time-frequency analysis, frequency hopping signals under low SPWVD and suppress noise and get its parameter estimation.
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:752
    • 提供者:武艳凤
  1. RayleighPDF

    0下载:
  2. 瑞利分布的实现-Implement Rayleigh distribution
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:752
    • 提供者:lerning dog
  1. mvdr

    0下载:
  2. mvdr波束形成 能运行 求解方位谱 可画图-MVDR beam forming plot
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:752
    • 提供者:wu
« 1 2 ... .11 .12 .13 .14 .15 194716.17 .18 .19 .20 .21 ... 199236 »
搜珍网 www.dssz.com