CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载

资源列表

« 1 2 ... .45 .46 .47 .48 .49 198450.51 .52 .53 .54 .55 ... 199235 »
  1. hex2dec

    0下载:
  2. 十六进制转十进制的一个汇编程序 第一个练习汇编的程序-hex to dec
  3. 所属分类:assembly language

    • 发布日期:2017-03-24
    • 文件大小:552
    • 提供者:
  1. qpsk_relate

    1下载:
  2. QPSK解调机设计,采用相关解调,用硬件语言verilog描述-QPSK demodulation machine design, using the relevant demodulation, using the hardware descr iption language verilog
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-31
    • 文件大小:552
    • 提供者:zhujing
  1. LED_0-99

    0下载:
  2. 2位数码管计数器(汇编)程序 此程序流程清析,简单明了-2 nixie tube counter (assembly) process for this program flow analysis clear, simple and clear
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:552
    • 提供者:xin
  1. test_led

    0下载:
  2. Blinking a led for PIC 12F675
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:552
    • 提供者:ESME
  1. CLOT

    0下载:
  2. 对于初学数据库的人而言 是很有帮助的 加油 每天写点代码 一定可以成功-For the database novice who is very helpful in terms of fuel every day to write about the code will be a success
  3. 所属分类:SQL Server

    • 发布日期:2017-04-10
    • 文件大小:552
    • 提供者:lulu
  1. NONAME

    0下载:
  2. 电子秤汇编语言文件,测压式电子秤汇编语言原文件-Electronic scales. . . . . . . . . . . . . . . . . . . . . . . . . .
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:552
    • 提供者:李东
  1. PLAY

    0下载:
  2. 把语音芯片ISD1420录放音时间20秒分成20段,每段一秒,调用录音子程序,录入语音,建立语音库,语音录入结束后,根据段地址,调用放音子程序,还原原来录入语音信号。- The voice chip ISD1420 sound recording time of 20 seconds is divided into 20 segments, each second, a subroutine call recording, voice input, speech database estab
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-03-28
    • 文件大小:552
    • 提供者:lzj
  1. 8255A

    0下载:
  2. 8255的一个端口接开关,另一个接灯,循环实现将开关状态读入,并输出至灯显示。-8255 port
  3. 所属分类:assembly language

    • 发布日期:2017-11-12
    • 文件大小:552
    • 提供者:杜振林
  1. 3p5

    1下载:
  2. 在屏幕上显示系统日期和时间,用英文表示星期几。-System date and time displayed on the screen, day of the week in English.
  3. 所属分类:assembly language

    • 发布日期:2017-11-02
    • 文件大小:552
    • 提供者:庄晨
  1. laisi-laisifenbu

    0下载:
  2. 莱斯分不是一种随机过程的随机分布函数的仿真,仿真结果属于随机分布-Rice is not a simulation sub-random process of random distribution functions, the simulation results are random distribution
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:552
    • 提供者:史文进
  1. Length

    0下载:
  2. 运用类的方法,输入两个点,求解两点之间的距离-input two point ,comput the length between the two point
  3. 所属分类:mathematica

    • 发布日期:2017-04-12
    • 文件大小:552
    • 提供者:陶先
  1. Delay-on-STM32f4-non-systick

    0下载:
  2. user delay stm32f4 non user systick
  3. 所属分类:Compiler program

    • 发布日期:2017-04-12
    • 文件大小:552
    • 提供者:TRANDUCLINH
« 1 2 ... .45 .46 .47 .48 .49 198450.51 .52 .53 .54 .55 ... 199235 »
搜珍网 www.dssz.com