资源列表
3.22
- 丁振凡Java第三章上机习题第一题第二题第三题(The third chapter of Ding Zhenfan)
MTK_TOOL2016-07-02
- MTK 工具 在tools 下缺失的文件 处理编译不过 报错问题(MTK tool is missing in tools file processing, compile, but error reporting.)
midterms
- fafsfaibfkabfkabgkabgkbgkbekgsg
Analog_vs_digital_microphones.pdf.tar
- 求解相关系数,一个matlab函数用于求解计算最简单的数据相关性。(Function that helps compute the correlation)
ej1
- presentation example of ppt document
TivaC_Code
- tivaC_code for CCS TI chip
VSC_inv_nlinear
- 可用于电力系统电压源换流器的小信号分析,参数设计,控制系统分析(It can be used for the design of small signal analysis parameters and control system analysis of power system voltage source converter.)
开心爆率修改器
- 传奇爆率修改工具,支持修改传奇所有爆率一键修改。。(Legend rate modification tools, support all proved a key modification to amend the legend..)
蜂鸣器音乐之八月桂花
- 蜂鸣器音乐之八月桂花
inspiron-14-7447-laptop_user's guide_zh-cn
- inspiron-14-7447-laptop_user's guide_zh-cn
si四位加法器
- 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
haze_tool去云补丁
- 是ENVI下用于遥感去云的拓展工具 landsat 系列数据,Modis数据均可用(It is an extension tool for remotely sensed cloud removal under ENVI Landsat series data, Modis data are available)