CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 Windows编程

资源列表

« 1 2 ... .09 .10 .11 .12 .13 52314.15 .16 .17 .18 .19 ... 58525 »
  1. CVI2

    0下载:
  2. 利用CVI制作虚拟示波器,比传统示波器更易使用,且可以自己更改功能。-produced using CVI virtual oscilloscope than traditional oscilloscope easier to use, and can change their function.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:150957
    • 提供者:越逡
  1. 32143432543543

    0下载:
  2. FPGA下载线ByteBlasterII原理图-FPGA download cable schematics ByteBlasterII
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:42088
    • 提供者:lele
  1. wind-

    0下载:
  2. 上传一篇《风荷载的模拟研究》和本人用matlab编的单点模拟程序。 请大家指教。-upload a "wind load simulation study," and I used Matlab series of the single-point simulation program. Please enlighten.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:162445
    • 提供者:wz
  1. kkd

    0下载:
  2. 基于极大嫡谱估计准则对变形数据进行预测 基于MATLAB平台编制了相应程序-based on the criteria of the spectrum is estimated to predict deformation data platform based on MATLAB the corresponding procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7337
    • 提供者:wz
  1. structrue

    0下载:
  2. 本程序主要用于计算空间刚架的位移。通过直接输入结构基本信息,如材料特征、截面形状、杆件信息、支座信息、杆件结点坐标、受荷状况等,经程序主体的运行后,显示出位移。-the procedure used mainly for the space frame displacement. Through direct input structure for basic information, such as material characteristics, geometry, information
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5247
    • 提供者:wz
  1. matlabFFT

    0下载:
  2. MATLAB中对FFT的分析-MATLAB right FFT analysis!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:709389
    • 提供者:晓春
  1. softengaer

    0下载:
  2. 软件项目管理,希望对大家多多批评和指教。教你如何设计和开发整套流程。-software project management, we hope to a lot of criticism and exhibitions. Teach you how to package design and development process.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:566558
    • 提供者:宋福钰
  1. wlm_n

    0下载:
  2. 一个有关单片机的小程序,望各位大侠多多指教,谢了-a small procedure, you look heroes exhibitions, thank you
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:41715
    • 提供者:王得胜
  1. wlm_o

    0下载:
  2. 一个有关单片机(ATMEL51)的小程序,望各位大侠多多指教,谢了-one of the SCM (ATMEL51) small program, and hopes to heroes exhibitions, thank you
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:30952
    • 提供者:王得胜
  1. Clear_dos_1972742122006

    0下载:
  2. This comprehensive clear screen tutorial using Java native interface. Teaches you from A-Z how you go about clearing the windows dos console. -clear screen tutori al using the Java native interface. Teaches you fro m A-Z how you go about clearing
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:485535
    • 提供者:原琴
  1. news_html

    0下载:
  2. 文章管理系统,生成静态网页 .-article management system, generating static pages.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:61907
    • 提供者:amu
  1. ACspeed_regulation

    0下载:
  2. 交流电机调速程序,适用于工科电机控制。是一个比较经典的程序。-AC motor speed control procedures applicable to the motor control engineering. It is a classic comparison procedures.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:11909
    • 提供者:周彬
« 1 2 ... .09 .10 .11 .12 .13 52314.15 .16 .17 .18 .19 ... 58525 »
搜珍网 www.dssz.com