CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 Windows编程 界面编程 其它

资源列表

« 1 2 ... .67 .68 .69 .70 .71 1572.73 .74 .75 .76 .77 ... 1751 »
  1. fdghldfgklcv545

    0下载:
  2. 用VC++实现Console程序显示彩色文本 用VC++实现Console程序显示彩色文本 用VC++实现Console程序显示彩色文本-with VC Console program displaying color version VC Console procedures revealed Color version VC Console program displaying color version VC Console procedures revealed Color t
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1847
    • 提供者:gfhs
  1. F33xDR30190D10bit

    0下载:
  2. 驅動DR30190 IC 使用10 bit Mode
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:1846
    • 提供者:OWENCHEN
  1. 8086

    0下载:
  2. DOS环境中的微机原理课程设计,汇编编写,电子时钟
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1846
    • 提供者:魏昊
  1. kkk.zip

    0下载:
  2. 所属分类:其它

    • 发布日期:
    • 文件大小:1845
    • 提供者:
  1. pathfinding

    0下载:
  2. prolog 找路例子程序: === === === Part 1-Adding connections Part 2-Simple Path example | ?- path1(a,b,P,T). will produce the response: T = 15 P = [a,b] ? Part 3 - Non-repeating path As an example, the query: ?- pa
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1845
    • 提供者:Fa
  1. Diversity_STBC

    0下载:
  2. 对应论文写的时空码的仿真程序。为2天线,BPSK调制模式。自己写的Space time code simulation提供给大家
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1845
    • 提供者:pengtanthu
  1. SC6121AZ解码程序

    0下载:
  2. SC6121AZ解码程序-SC6121AZ decoding procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1844
    • 提供者:wwj
  1. serial1

    0下载:
  2. 串口通讯程序,包括打开、设置串口参数,读写串口等
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1842
    • 提供者:cham
  1. sjq_systemview

    0下载:
  2. systemview 中实现QPSK 和 ASK -Systemview achieve ASK and QPSK
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1841
    • 提供者:宋敬群
  1. zhongxingdian

    0下载:
  2. 在matlab下求一幅图的中心点,前提是这幅图已经是边缘轮廓的图了-Matlab plans for a focal point premise of this plan is of the contour map of
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1841
    • 提供者:孙利君
  1. DoubleFibe

    0下载:
  2. Study on LD Pumped Yb3+ -doped Double-clad Fiber Lasers数值分析对线形腔LD泵浦掺镱的双包层光纤激光器进行研究,分析了单端泵浦和双端泵浦的泵浦光及激光输出功率和增益在光纤中的分布,结果表明,非均匀泵浦比较适合高功率光纤激光器。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1841
    • 提供者:张昕
  1. duotai

    0下载:
  2. 设计有理数类rational_number  数据成员: int numerator(分子) int denominator(分母)  成员函数 (1)构造函数rational_number ()和rational_number (int x, int y) (2)拷贝构造函数 (3) 重载运算符“+”、“-”、“*”和“/” 2. 设计有理数类rational_number  数据成员:
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1840
    • 提供者:zn
« 1 2 ... .67 .68 .69 .70 .71 1572.73 .74 .75 .76 .77 ... 1751 »
搜珍网 www.dssz.com