CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 Windows编程 界面编程 其它

资源列表

« 1 2 ... .80 .81 .82 .83 .84 1685.86 .87 .88 .89 .90 ... 1751 »
  1. 很好的订餐管理系统

    0下载:
  2. 很好的订餐管理系统.rar 很好的订餐管理系统.rar-good make restaurant management system. Rar g ood make restaurant management system. Rar
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:564493
    • 提供者:冰冰
  1. MSDNIntegrator_src

    0下载:
  2. 该程序可以将自己的帮助文档集成到MSDN里。自己制作库的时候特别有用-the program can help their integration into the MSDN documentation Lane. They produced the library is especially useful when
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:107308
    • 提供者:wqf
  1. 《com原理与应用》源代码

    1下载:
  2. 潘爱民一书《com原理与应用》源代码,也可以作为VC学习及COM知识中绝好材料,-Pan Aimin a book, "Theory and Application com" source code, or as a COM VC learning and knowledge excellent material,
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:757234
    • 提供者:守望者
  1. 二进制串行-1计数器

    0下载:
  2. 大学计算机数字逻辑实验作业 用Multisim仿真软件编写 计数器 用双D触发器74Ls74构成四位二进制串行计数器 二分频计数原理-University computer digital logic operations using Multisim experimental simulation software used to prepare counter-D Trigger 74Ls74 constitute four serial binary frequency counter t
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:27866
    • 提供者:赵传仕
  1. 81倍放大

    0下载:
  2. 大学计算机基础课程 电子技术实验 用Multisim2001编写 实验内容 应用运算放大器放大81倍的电路设计图-University computer based courses using electronic technology experiment prepared Multisim2001 experimental content applications Operational amplifier circuit 81 times the design
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:18225
    • 提供者:赵传仕
  1. VB—chat

    0下载:
  2. VB聊天小程序 非常的简单希望对大家有帮助-VB program is extremely simple wish to be helpful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:13976
    • 提供者:zhu
  1. 精灵动画

    0下载:
  2. 精灵动画的实现,编游戏的基础 二维游戏的经典基础-the realization of part of the game of the classic 2-D game based
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:579971
    • 提供者:java
  1. Three三次样条插值

    0下载:
  2. 计算机数值分析实验题目 三次样条插值的程序 满足三次样条插值的三弯矩法 编制第一与第二边界条件的程序-Computer Numerical Analysis Experiment topics cubic spline interpolation procedures meet cubic spline interpolation of three Moment preparing for the first and second boundary conditions procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1723
    • 提供者:赵传仕
  1. 拉格朗~1

    0下载:
  2. 数值分析课程上机 拉格朗日插值多项式 插值多项式存在唯一性 在次数不超过N的多项式集合Hn中 满足条件的插值多项式Ln(x)^Hn 是唯一存在的! 牛顿插值 三色~-numerical analysis courses on the plane Lagrange polynomial interpolation polynomial interpolation existence and uniqueness to the number not exceeding N polynomial Hn
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1703
    • 提供者:赵传仕
  1. 成绩管理20034581赵传仕

    0下载:
  2. 学生成绩管理系统 C语言 1、掌握结构化设计方法。 2、了解大型软件的设计技术 3、在实际应用背景下灵活运用线性表的顺序存储结构和链式存储结构。 4、灵活运用线性表上的各种基本运算,完成题目需求。 5、在实例中运用排序与查找算法。-student performance management system a C language, master structural design methods. Two, understand the design of large software tec
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3785
    • 提供者:赵传仕
  1. h_weight

    0下载:
  2. 哈夫曼码的编码译码系统 问题描述 利用哈夫曼编码进行信息通讯可以大大提高信道利用率,缩短信息传输时间,降低传输成本。但是,这要求在发送端通过一个编码系统将待传输的数据预先编码:在接受端通过一个译码系统对传来的数据进行译码(复原)。对于双向传输信息的通道,每端都需要一个完整的编码译码系统。试为这样的信息收发站编写一个哈夫曼码的编码译码系统。-Huffman coding code decoding system describes the use of Huffman coding informa
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2549
    • 提供者:赵传仕
  1. JSP文章

    0下载:
  2. 关于jsp的一些好文章 主要介绍一些关于JSP的应用技巧方面的东西-jsp on the excellent article introduces some of the JSP application of things
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1645713
    • 提供者:
« 1 2 ... .80 .81 .82 .83 .84 1685.86 .87 .88 .89 .90 ... 1751 »
搜珍网 www.dssz.com