CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 Windows编程 其他小程序

资源列表

« 1 2 ... .06 .07 .08 .09 .10 13411.12 .13 .14 .15 .16 ... 19301 »
  1. fingyiu-V5.6

    0下载:
  2. Matlab实现界面友好,通过matlab代码,关于超声波倒车雷达测距的。- Matlab to achieve user-friendly, By matlab code, About ultrasonic parking radar ranging.
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-20
    • 文件大小:11264
    • 提供者:丁小
  1. for

    0下载:
  2. 我 的小小作品请大家看看指导哦 在这谢谢了哦.推荐给大家-Please take a look at my little works guide oh In this thank you oh. Recommended for everyone
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-23
    • 文件大小:56320
    • 提供者:vormupf
  1. footprint

    0下载:
  2. 自己珍藏的元件库和3D模型,应用环境ALTIUM DESIGNER。-Their element in the collection of the library and 3 d model, application environment is ALTIUM DESIGNER.
  3. 所属分类:Other systems

    • 发布日期:2017-12-25
    • 文件大小:22475776
    • 提供者:杜岩
  1. test_sag

    0下载:
  2. use for testing the voltage sag
  3. 所属分类:Other systems

    • 发布日期:2017-12-17
    • 文件大小:1024
    • 提供者:Phuoc
  1. catabaseuse

    0下载:
  2. 我们课程设计的题目,可以使用,数据库用ACCE-The topic of curriculum design, we can use, use the ACCESS
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-16
    • 文件大小:836608
    • 提供者:XHU$39334
  1. yyy

    0下载:
  2. 这是基于QT的计算器,可以连续输入算式,进行运算,有清零功能-This is a calculator based on QT, which can be carried out in a continuous way, and it has zero function
  3. 所属分类:Other systems

    • 发布日期:2017-12-17
    • 文件大小:6144
    • 提供者:武力
  1. ittcb

    0下载:
  2. 非归零型差分相位调制信号建模与仿真分析 ,本程序的性能已经超过其他算法,是信号处理的基础。- NRZ type differential phase modulation signal modeling and simulation analysis, This program has exceeded the performance of other algorithms, Is the basis of the signal processing.
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-19
    • 文件大小:6144
    • 提供者:郑永智
  1. 2654

    0下载:
  2. MIMO OFDM matlab仿真,代码里有很完整的注释和解释,自己编的5种调制信号。- MIMO OFDM matlab simulation, Code, there are very complete notes and explanations Own five modulation signal.
  3. 所属分类:Other systems

    • 发布日期:2017-12-16
    • 文件大小:7168
    • 提供者:李玉哲
  1. aefjm

    0下载:
  2. 现代信号处理中谱估计在matlab中的使用,本程序的性能已经达到较高水平,使用matlab实现智能预测控制算法。- Modern signal processing used in the spectral estimation in matlab, The performance of the program has reached a high level, Use matlab intelligent predictive control algorithm.
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-13
    • 文件大小:7592
    • 提供者:姚铁平
  1. kou_em53

    0下载:
  2. 应用小区域方差对比,程序简单,车牌识别定位程序的部分功能,利用matlab GUI实现的串口编程例子。- Application of small area variance comparison, simple procedures, Part of the license plate recognition locator feature, Use serial programming examples matlab GUI implementation.
  3. 所属分类:Other systems

    • 发布日期:2017-12-17
    • 文件大小:7168
    • 提供者:李伟艳
  1. emcdhmg

    0下载:
  2. 类似ADOBE PHOTOSHOP的颜色选择器,VB编程,-Similar to ADOBE PHOTOSHOP color selector, VB programming,
  3. 所属分类:Other systems

    • 发布日期:2017-12-16
    • 文件大小:23552
    • 提供者:bontup
  1. W1W2W3W4

    1下载:
  2. 根据射线穿越网格长度作为投影权重的CTART算法-The length of the radiation through the mesh as the heavy weights of the projection algorithm CTART
  3. 所属分类:Other systems

    • 发布日期:2017-12-14
    • 文件大小:11264
    • 提供者:zcwl
« 1 2 ... .06 .07 .08 .09 .10 13411.12 .13 .14 .15 .16 ... 19301 »
搜珍网 www.dssz.com